Development of Precision-Agriculture Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer based Monitoring

Size: px
Start display at page:

Download "Development of Precision-Agriculture Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer based Monitoring"

Transcription

1 International Journal of Electronics and Computer Science Engineering 245 Available Online at ISSN Development of Precision-Agriculture Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer based Monitoring S.R. Patil 1, R.K. Kamat 2, P. K. Gaikwad Embedded System and VLSI Research Laboratory, Department of Electronics Shivaji University, Kolhapur 3 pawangaikwad2003@yahoo.co.in Abstract- The research paper focuses on the development of a data acquisition system for precision-agriculture by sensing physical parameters; associated with the farm-soil. It requires different modules to be deployed in a system to perform data acquisition process over multiple parameters. However, the prototype developed in this research work deals with an embedded system comprising the less chip-count and consequently reduce overall power utilization. The analogue multiplexer routes electrical signals produced by farm-parameter sensors. Such signals were transformed into their digital equivalents by means of a 12-bit serial Analogue to Digital Converter (ADC); which was driven by the Xilinx Field Programmable Gate Array (FPGA). The research work deals with a standardized real-time hardware-signal monitoring system using the Xilinx ChipScope Pro Logic Analyzer. Keywords FPGA, Serial ADC, Analogue Multiplexer, Xilinx ChipScope Pro, Precision Agriculture I. INTRODUCTION THE sustainability of producing technologically advanced farming becomes necessary; because the well known fact is that, basically the wealth and security of a nation materializes from its land. The precision-agriculture having precise inputs like sufficient as well as good water, fertilizer, and insecticides at the right time to the crops can help the nation to make its position in the next Green Revolution. The research paper deals with the development of a system to perform the multiplexed data acquisition system essential in precision-agriculture. The farm parameters may be sensed by different sensors, like temperature, electrical conductivity of the soil, power of hydrogen or potential of hydrogen (ph) present in the soil, soil moisture, and the percentage level of the humidity in the atmosphere around the farm. However, the upshot of this research work is to explore the practical aspects of analogue multiplexing and to digitization of electrical signals; produced from sensors. The data acquisition process was performed by the Soft Intellectual Property (IP) Core in the Field Programmable Gate Array (FPGA). To perform analogue multiplexing over the electrical signals of different sensors, and route them towards the data acquisition unit, the analogue multiplexer integrated circuit (IC) was installed on the prototype board. The instrumentation comprises of FPGA board, a serial ADC and analogue multiplexer chip. As given in [1], it shows an FPGA-based data acquisition system, utilizing the high processing speed feature of FPGA. It realizes the physical signal acquisition, analogue signal to digital signal conversion and data storage. The FPGA, as the core of the data acquisition system, collects and stores the data. The system was divided into three modules: the front-end signal processing module, FPGA data acquisition module, and data storage module. An FPGA based data acquisition system designed around XCS30 is also reported in [2]. The Feeder Terminal Unit (FTU) is used to real-timely monitor the voltages and currents of multiple lines. With the parallel processing ability of FPGA, the input signals are synchronously sampled, and then one-by-one converted from analogue to digital data and quickly transmitted. A multi-channel data collection system based on Advanced Reduced Instruction Set Computer Machines (ARM) is also presented in [3]. By combining the software and hardware technologies, eight-channel analogue signal collecting system is

2 IJECSE, Volume 4, Number 3 S.R. Patil et al 246 realized. Its experimental result show there are 14 bit collection precision and (140 KHz/s) sampling rate in system. In addition Compact Flash (CF) storage and three communication ways are attained. The FPGA designs have become increasingly dense and complex. They are difficult to debug because more and more of the relevant signals are buried deep within the logic fabric. Access to signals in the FPGA, on board or in the system is very restricted whether troubleshooting is done in the lab or in the field. The Xilinx ChipScope Pro integrated logic analyzer has solved much of the problem at the FPGA level. A research work given in [4] shows that, ChipScope has been used to test and verify a developed system that presents the digital core of a wireless sensor module and it has been implemented in (Spartan 3) based FPGA development board. To march on the similar platform, in this research work, to visualize the data acquisition process the Xilinx ChipScope Pro was deployed; instead of the traditional signal monitoring systems like Logic State Analyzers which increases the system monitoring cost. Such graphical visualization on ChipScope Pro portrays the digitized data from serial ADC, as well as the signal that generates Inter Integrated Circuit (I2C) serial communication protocol. An external hardware trigger was also provided to enable the ChipScope Pro logic analyzer window, so as to capture the signal waveforms during hardware run-time. II. BLOCK DIAGRAM OF THE SYSTEM The Fig.1 illustrates the block diagram of the system to perform multiplexed data acquisition process over various farm parameters, essential in the precision-agriculture. At present only one parameter i.e. temperature was sensed and converted into its equivalent analogue signal. For this prototype development, a simple LM35 temperature sensor was interfaced with the analogue multiplexer chip: IC4051 from ST microelectronics. The details of such multiplexer IC are given in [5]. The select lines of the multiplexer were connected to select different farm parameters (at present the I0 input) and route it towards the serial ADC input, as shown in Fig.1. The serial ADC module was embedded with a 12 bit ADC chip AD7991, which was driven by serial communication protocol (I2C) to sample analogue input voltages and convert them into their digital equivalents. The I2C serial communication protocol signals were generated by the reconfigurable device from Xilinx. A soft IP core given in [6] was implemented into the Spartan-3E FPGA: xc3s500e-4fg320. The FPGA board Nexys2, provided by Digilent Inc. [7] was deployed for this prototype instrumentation. Figure 1. Block Diagram of the Multiplexed Data Acquisition System, Monitoring Farm Parameters on Xilinx ChipScope Pro Logic Analyzer To visualize the 12 bit serial ADC output, the Xilinx ChipScope Pro tools were invoked. The Integrated Logic Analyzer (ILA) core, provided in Xilinx ChipScope Pro tools, was generated to monitor various internal signals; buried within the FPGA logic fabric. The ILA core was controlled by an Integrated Controller (ICON). A top level Hardware Description Language (HDL) module was developed to instantiate two ChipScope cores: ILA and ICON, and the serial ADC driver module. The

3 247 Development of Precision-Agricultural Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer Based Monitoring analogue multiplexer has eight input signals being connected towards the serial ADC one by one. The ADC produces a 12 bit magnitude; related with the analogue input voltages. III. DEVELOPMENT OF TOP LEVEL SOFT IP CORE The top level Soft IP core was developed to integrate serial ADC module as given in [6], and the Xilinx ChipScope Pro cores: ICON and ILA were also integrated therein. These three VHDL components were interfaced using structural modeling style of the Very High Speed Integrated Circuit Hardware Description Language (VHDL) architecture. The following lines of VHDL code describes the input/output lines of the top level module developed in this research work. entity AMUX_CS is port (TRIG_COND RESET SYS_CLK :in STD_LOGIC; -- H/W Trigger : in STD_LOGIC; -- Global Reset : in STD_LOGIC; -- Generic Clock AD2_SCL : inout STD_LOGIC; AD2_SDA : inout STD_LOGIC); end AMUX_CS; Figure 2. RTL Synthesis View of the Top Level VHDL Entity AMUX_CS The VHDL entity named as AMUX_CS consist of three input mode signals. The system clock (SYS_CLK) is a generic clock input. An asynchronous RESET input resets the system, when it is asserted high. The third input signal TRIG_COND triggers the ChipScope Logic Analyzer window to capture pre-defined number of samples (1024 here). The conditional triggering was optional to select at the time of monitoring FPGA internal signal-waveforms on Logic Analyzer window. There are two special inout mode signals, driving the serial ADC chip, using serial communication protocol. These I2C communication protocol signals are AD2_SCL and AD2_SDA. The Fig. 2 shows a Register Transfer Level (RTL) synthesis view of the top level module: AMUX_CS. The Table 1 shows the synthesis report of the VHDL module developed in this research work. It reports that, the top level module including components like serial ADC driver, ChipScope modules: ILA and ICON, requires different FPGA hardware resources. Table -1 Device Utilization: Spartan 3E FPGA: XC3S500E-4FG320E Logic Utilization Used Available Utilization Total Number Slice Registers 405 9,312 4% Number used as Flip Flops 404

4 IJECSE, Volume 4, Number 3 S.R. Patil et al 248 Number used as Latches 1 Number of 4 input LUTs 375 9,312 4% Number of occupied Slices 390 4,656 8% Number of Slices containing only related logic % Number of Slices containing unrelated logic % Total Number of 4 input LUTs 491 9,312 5% Number used as logic 290 Number used as a route-thru 116 Number used as Shift registers 85 Number of bonded IOBs % Number of RAMB16s % Number of BUFGMUXs % Number of BSCANs % Number of RPM macros 12 Average Fan-out of Non-Clock Nets 2.90 A detailed view of the RTL synthesis result is also shown in Fig.3. It depicts that, three Soft IP cores have been instantiated together to form a top level VHDL module, AMUX_CS. It comprises of ChipScope soft IP modules with their instance names as CS_ICON and CS_ILA. The third component augmented in the top level module is CS_MSTRCNT. The IO lines shown in Fig.3 resembles with the top level module shown in Fig.2. Figure 3. RTL A detailed view of RTL Synthesis Result showing three Soft IP Cores instantiated together IV. XILINX CHIPSCOPE PRO BASED DIGITAL SYSTEM MONITORING The digital systems are generally monitored using logic state analyzers; having 16 or 32 bits channels. To monitor more number of signals on such analyzer, it requires the high-cost instrumentation setup. To overcome with the problem of high-

5 249 Development of Precision-Agricultural Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer Based Monitoring cost monitoring system, which is based on logic state analyzer, the Xilinx ChipScope Pro plays a vital role and assists monitoring of maximum number of channels. A single ILA core available in Xilinx ChipScope Pro is capable of monitoring 32 bit signals, and the single ICON is capable of controlling 15 cores like ILA, Virtual Input/ Output (VIO) etc. The detail of generating ICON and ILA cores is as given in [9]. The Fig.4 illustrates the Xilinx ChipScope Pro Logic Analyzer window. It shows a waveform-window exploring FPGA internal signals, indicating the serial ADC 12 bit data; which is un-routed towards the FPGA I/O pads. Figure 4. A Photograph of Testing the Farm-Parameters Monitoring System using FPGA The 12-bit-resolution, four channels, Analogue to Digital device (IC AD7991) is embedded on the peripheral module: PmodAD2; which is designed and manufactured by Digilent Inc. The same is deployed in this research work. The ADC Transfer Function shows that, the output coding of the AD7991 is straight binary. The designed code transitions occur at successive integer LSB values (that is, 1 LSB, 2 LSB, and so on). The LSB size for the AD7991 is V REF /4096 [10]. The V REF given from the Nexys2 board to PmodAD2 was 3.3 Volts. Thus, to show a relationship between analogue voltages observed on the DMM with the output of serial ADC, the V REF (3.3V) was multiplied with the LSB size, mv. Figure 5. ChipScope Logic Analyzer Waiting for External Hardware Trigger Out of the entire bus signal, as shown in Fig. 4, only the lower order 12 bit signal is allied with the serial ADC output. The decimal equivalent of lower order 12 bit signals (152H) is 338. To calculate its analogue equivalent magnitude, the decimal number 338 was multiplied with the LSB size, 0.805mV. This results to mV. The observed reading on DMM

6 IJECSE, Volume 4, Number 3 S.R. Patil et al 250 as shown in Fig. 6 is 0.27V i.e. 270mV. This verifies that, the bus signal shown by ChipScope Logic Analyzer resembles with the analogue amplitude displayed on DMM. The Xilinx ChipScope Pro logic analyzer also facilitates triggering the capture-window using external hardware trigger. It is optional to use this kind of trigger at the time of capturing waveforms on analyzer. The core ILA was designed to have only one external 8-bit trigger bus. The top level module signal named as TRIG_COND was connected to the trigger line TRIG0[0] using a VHDL line of the source code: TRIG0(0)<=TRIG_COND;. The Fig.5 shows the application of external hardware trigger. It depicts that, TRIG0[7:0] was stimulated by value XXXX_XXX1 and verified for its equality using a function (==). It reveal that, when lower order line of TRIG0[7:0] was given a high signal, the logic analyzer captures the waveforms; only when its associated signal gets external hardware signal high. On the contrary, the logic analyzer buffer captures 0% waveforms and keeps on waiting for trigger, as shown in Fig.5. IV. RESULTS The Fig. 6 illustrates a photograph taken at the time of actual testing of the prototype; developed for testing the farm parameters. One of the farm parameters i.e. temperature, has been taken for testing of the system. It contains the interfacing of FPGA board: Nexys2 developed by Digilent Inc. with the serial ADC module, PmodAD2. The details of analogue multiplexer IC HCF4051B are given in the data sheet [5]. Presently only one analogue input channel was selected using its select lines at C, B and A inputs. The Fig.6 also depicts that, the temperature sensor LM35 output line is connected to the multiplexer s input channel I0. The digital multi-meter (DMM), displays the voltage produced by temperature sensor of the order of 0.27 Volts (270 mv). As given in [8], the scale factor of LM35 temperature sensor is 10mV/ C. The DMM displays 270mV; which relates with the temperature of the order of 27 C. Figure 6. A Photograph of Testing the Farm-Parameters Monitoring System using FPGA IV.CONCLUSION The research paper focuses on the development of a prototype for the precision-agriculture, with the help of analogue multiplexer and FPGA based system. The attention has been given to perform a well-defined data acquisition process over different farm parameters, as well as to develop a highly advanced monitoring system using the Xilinx ChipScope Logic Analyzer. The ChipScope Analyzer serves to display FPGA internal signals in run-time. At present, a single physical

7 251 Development of Precision-Agricultural Data Acquisition System and Xilinx ChipScope Pro Logic Analyzer Based Monitoring parameter, temperature has been taken into the consideration to develop the prototype. As a future extension of the research work, the researchers would deploy different sensors for signal conditioning over the farm parameters; like electrical conductivity of the soil, (ph) in the soil, the soil-moisture and the percentage level of the humidity in the atmosphere around the farm. At the farmer-end the complete system developed using such a platform assures that, it would definitely increase the crop-productivity; when the soil electrical-conductivity kind of key-parameter is observed keenly; due to which an automatic loop-control system would take care of controlling the salinity of the soil. REFERENCE [1] Ye Fan., FPGA-based data acquisition system. Signal Processing, Communications and Computing (ICSPCC), 2011 IEEE International Conference on. ISSN: [2] YANG Shujie, GUO Zonglian, Development of high-speed data acquisition system based on FPGA, CNKI Journal: Electric Power Automation Equipment. ISSN: June [3] RUAN Shuang-xi,QIU Chun-ling,TIAN Di, Research and Design of Meteorological Data Collection System Based on ARM, CNKI Journal: Electric Power Automation Equipment. ISSN: February [4] Arshak, Khalil; Jafer, E.; Ibala, C., Testing FPGA based digital system using XILINX ChipScope logic analyzer, Electronics Technology, ISSE '06. 29th International Spring Seminar on. E-ISBN: May [5] A datasheet of HCF4051B. Analogue Multiplexers-Demultiplexers, Viewed 29 December 2013, from [6] Support Document, Xilinx ISE demo project for the PmodAD2 and a PmodDA1, Digilent, Inc., Pullman, WA , DSD November [7] Reference manual, Digilent Nexys2 Board Reference Manual, Digilent Inc., Pullman, WA 99163, Doc: [8] A datasheet of LM35. Temperature Sensor. Viewed 29 August 2013, from [9] Pawan Gaikwad. Xilinx ChipScope Pro to Visualize FPGA Internal Signals. Lap Lambert Academic Publishing. ISBN: [10] Datasheet. 4-Channel, 12-/10-/8-Bit ADC with I2C-Compatible Interface in 8-Lead SOT-23. Analogue Devices, Inc., U.S.A.,

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM P. K. Gaikwad Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA pawangaikwad2003@yahoo.co.in Abstract

More information

DEVELOPMENT OF FPGA BASED REMOTE CONTROLLED POWER STEERING CONTROL SYSTEM FOR VEHICLES

DEVELOPMENT OF FPGA BASED REMOTE CONTROLLED POWER STEERING CONTROL SYSTEM FOR VEHICLES Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 6.017 IJCSMC,

More information

DEVELOPMENT OF FPGA AND GSM BASED ADVANCED DIGITAL LOCKER SYSTEM

DEVELOPMENT OF FPGA AND GSM BASED ADVANCED DIGITAL LOCKER SYSTEM DEVELOPMENT OF FPGA AND GSM BASED ADVANCED DIGITAL LOCKER SYSTEM P. K. Gaikwad Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA pawangaikwad2003@yahoo.co.in Abstract This paper shows

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

Adding the ILA Core to an Existing Design Lab

Adding the ILA Core to an Existing Design Lab Adding the ILA Core to an Existing Introduction This lab consists of adding a ChipScope Pro software ILA core with the Core Inserter tool and debugging a nonfunctioning design. The files for this lab are

More information

IJREAT International Journal of Research in Engineering & Advanced Technology, Volume 1, Issue 5, Oct-Nov, 2013 ISSN:

IJREAT International Journal of Research in Engineering & Advanced Technology, Volume 1, Issue 5, Oct-Nov, 2013 ISSN: Development of FPGA based PS/2 Mouse and VGA Monitor Interface Technique P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India Abstract The present paper deals with the development

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Laboratory Memory Components

Laboratory Memory Components Laboratory 3 3. Memory Components 3.1 Objectives Design, implement and test Register File Read only Memories ROMs Random Access Memories RAMs Familiarize the students with Xilinx ISE WebPack Xilinx Synthesis

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Design Development and Implementation of SPI

Design Development and Implementation of SPI MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 65 69 65 Design Development and Implementation of SPI A. Sirisha Kurnool (DT), A.P, INDIA M. Sravanthi

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Controller IP for a Low Cost FPGA Based USB Device Core

Controller IP for a Low Cost FPGA Based USB Device Core National Conference on Emerging Trends in VLSI, Embedded and Communication Systems-2013 17 Controller IP for a Low Cost FPGA Based USB Device Core N.V. Indrasena and Anitta Thomas Abstract--- In this paper

More information

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE 1 Thirunavukkarasu.T, 2 Kirthika.N 1 PG Student: Department of ECE (PG), Sri Ramakrishna Engineering College, Coimbatore, India 2 Assistant Professor,

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

Design and Implementation of Multi-Rate Encryption Unit Based on Customized AES

Design and Implementation of Multi-Rate Encryption Unit Based on Customized AES International Journal of Video & Image Processing and Network Security IJVIPNS-IJENS Vol: 11 No: 06 6 Design and Implementation of Multi-Rate Encryption Unit Based on Customized AES Ashraf D. Elbayoumy,

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

VHX - Xilinx - FPGA Programming in VHDL

VHX - Xilinx - FPGA Programming in VHDL Training Xilinx - FPGA Programming in VHDL: This course explains how to design with VHDL on Xilinx FPGAs using ISE Design Suite - Programming: Logique Programmable VHX - Xilinx - FPGA Programming in VHDL

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

MIB-16 FPGA Based Design and Implementation of a 16-Bit Microprocessor for Educational Use

MIB-16 FPGA Based Design and Implementation of a 16-Bit Microprocessor for Educational Use MIB-16 FPGA Based Design and Implementation of a 16-Bit Microprocessor for Educational Use ESMA ALAER, ALI TANGEL, MEHMET YAKUT Electronics and Communication Engineering Kocaeli University Muhendislik

More information

í ChipScope Pro Software and Cores User Guide [] UG029 (v14.2) July 25, 2012

í ChipScope Pro Software and Cores User Guide [] UG029 (v14.2) July 25, 2012 í ChipScope Pro Software and Cores User Guide [] [] Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2)

FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2) FPGA IMPLEMENTATION OF A NEW BCH DECODER USED IN DIGITAL VIDEO BROADCASTING - SATELLITE - SECOND GENERATION (DVB-S2) 1* EL HABTI EL IDRISSI ANAS, 1, 2 EL GOURI RACHID, 3 AHMED LICHIOUI, 1 HLOU LAAMARI

More information

Embedded Real-Time Video Processing System on FPGA

Embedded Real-Time Video Processing System on FPGA Embedded Real-Time Video Processing System on FPGA Yahia Said 1, Taoufik Saidani 1, Fethi Smach 2, Mohamed Atri 1, and Hichem Snoussi 3 1 Laboratory of Electronics and Microelectronics (EμE), Faculty of

More information

ChipScope Pro Software and Cores

ChipScope Pro Software and Cores í ChipScope Pro Software and Cores User Guide [] [] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs

More information

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives Formation VHDL Language: FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) - Programmation: Logique Programmable V1 - VHDL Language FPGA Programming

More information

Designing an Improved 64 Bit Arithmetic and Logical Unit for Digital Signaling Processing Purposes

Designing an Improved 64 Bit Arithmetic and Logical Unit for Digital Signaling Processing Purposes Available Online at- http://isroj.net/index.php/issue/current-issue ISROJ Index Copernicus Value for 2015: 49.25 Volume 02 Issue 01, 2017 e-issn- 2455 8818 Designing an Improved 64 Bit Arithmetic and Logical

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

On-Chip Design Verification with Xilinx FPGAs

On-Chip Design Verification with Xilinx FPGAs On-Chip Design Verification with Xilinx FPGAs Application Note 1456 Xilinx Virtex-II Pro devices have redefined FPGAs. The Virtex-II Pro brings with it not only a denser and faster FPGA, but an IBM PPC

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko 136 VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko Abstract: Usage of modeling for construction of laser installations today is actual in connection

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

FPGA PAINT. Design of Embedded Systems, Advanced Course. Faculty of Engineering (LTH) Lund University, Sweden. November 30 th, 2010

FPGA PAINT. Design of Embedded Systems, Advanced Course. Faculty of Engineering (LTH) Lund University, Sweden. November 30 th, 2010 FPGA PAINT Design of Embedded Systems, Advanced Course Faculty of Engineering (LTH) Lund University, Sweden November 30 th, 2010 Course Responsible: Flavius Gruian Viktor Do (dt06vd2@student.lth.se) Zhang

More information

Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v12.3) November 5, 2010

Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v12.3) November 5, 2010 Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications UG750 (v12.3) November 5, 2010 Xilinx is disclosing this user guide, manual, release note, and/or specification (the

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA 1 HESHAM ALOBAISI, 2 SAIM MOHAMMED, 3 MOHAMMAD AWEDH 1,2,3 Department of Electrical and Computer Engineering, King Abdulaziz University

More information

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS Ashutosh Gupta and Kota Solomon Raju Digital System Group, Central Electronics Engineering

More information

Using the Agilent Technologies Series Logic Analysis System with the Xilinx ChipScope ILA

Using the Agilent Technologies Series Logic Analysis System with the Xilinx ChipScope ILA Using the Agilent Technologies 16700 Series Logic Analysis System with the Xilinx ChipScope ILA Product Note This product note describes the ability to combine internal and external logic analysis traces

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing 3-. 3-.2 Learning Outcomes Spiral 3 Hardware/Software Interfacing I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides the agreement

More information

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5 Tutorial on FPGA Design Flow based on Aldec Active HDL Ver 1.5 1 Prepared by Ekawat (Ice) Homsirikamol, Marcin Rogawski, Jeremy Kelly, John Pham, and Dr. Kris Gaj This tutorial assumes that you have basic

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Using ChipScope Overview ChipScope is an embedded, software based logic analyzer. By

More information

Lecture 11 Memories in Xilinx FPGAs

Lecture 11 Memories in Xilinx FPGAs Lecture 11 Memories in Xilinx FPGAs ECE 448 FPGA and ASIC Design with VHDL Recommended reading XAPP463 Using Block RAM in Spartan-3 Generation FPGAs Google search: XAPP463 XAPP464 Using Look-Up Tables

More information

Chip Design with FPGA Design Tools

Chip Design with FPGA Design Tools Chip Design with FPGA Design Tools Intern: Supervisor: Antoine Vazquez Janusz Zalewski Florida Gulf Coast University Fort Myers, FL 33928 V1.9, August 28 th. Page 1 1. Introduction FPGA is abbreviation

More information

MIGRATING MICROCONTROLLER BASED CONTROL SYSTEMS INTO THE NANO FABRICS OF FPGAS

MIGRATING MICROCONTROLLER BASED CONTROL SYSTEMS INTO THE NANO FABRICS OF FPGAS MIGRATING MICROCONTROLLER BASED CONTROL SYSTEMS INTO THE NANO FABRICS OF FPGAS Santosh A. Shinde, Vinod G. Shelake and R. K. Kamat* VLSI Laboratory, Department of Electronics, Shivaji University, KOLHAPUR

More information

Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture

Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture Simulation & Synthesis of FPGA Based & Resource Efficient Matrix Coprocessor Architecture Jai Prakash Mishra 1, Mukesh Maheshwari 2 1 M.Tech Scholar, Electronics & Communication Engineering, JNU Jaipur,

More information

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE WebPACK VHDL Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides simple instruction for using the Xilinx ISE

More information

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) DS646 June 22, 2011 LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) Introduction The LogiCORE IP ChipScope Pro Integrated CONtroller core (ICON) provides an interface between the JTAG Boundary

More information

A Compact FPGA Implementation of Triple-DES Encryption System with IP Core Generation and On-Chip Verification

A Compact FPGA Implementation of Triple-DES Encryption System with IP Core Generation and On-Chip Verification Proceedings of the 2010 International Conference on Industrial Engineering and Operations Management Dhaka, Bangladesh, January 9 10, 2010 A Compact FPGA Implementation of Triple-DES Encryption System

More information

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL Arid Zone Journal of Engineering, Technology and Environment. August, 2013; Vol. 9, 17-26 DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL Dibal, P.Y. (Department of Computer Engineering,

More information

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core SPI description: http://en.wikipedia.org/wiki/serial_peripheral_interface_bus References you may need: PicoBlaze KCPSM6 User Manual

More information

ISE Tutorial. Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v14.4) December 18, 2012

ISE Tutorial. Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v14.4) December 18, 2012 ISE Tutorial Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications UG750 (v14.4) December 18, 2012 Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

New Computational Modeling for Solving Higher Order ODE based on FPGA

New Computational Modeling for Solving Higher Order ODE based on FPGA New Computational Modeling for Solving Higher Order ODE based on FPGA Alireza Fasih 1, Tuan Do Trong 2, Jean Chamberlain Chedjou 3, Kyandoghere Kyamakya 4 1, 3, 4 Alpen-Adria University of Klagenfurt Austria

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Digital Logic Design Lab

Digital Logic Design Lab Digital Logic Design Lab DEPARTMENT OF ELECTRICAL ENGINEERING LAB BROCHURE DIGITAL LOGIC DESIGN LABORATORY CONTENTS Lab Venue... 3 Lab Objectives & Courses... 3 Lab Description & Experiments... 4 Hardware

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Xilinx PicoBlaze Flow Demo Lab www.xilinx.com 1-1 Create a New Project Step 1 Create a new project targeting the Spartan-3E device that

More information

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE Anni Benitta.M #1 and Felcy Jeba Malar.M *2 1# Centre for excellence in VLSI Design, ECE, KCG College of Technology, Chennai, Tamilnadu

More information

Luleå University of Technology Kurskod SMD098 Datum Skrivtid

Luleå University of Technology Kurskod SMD098 Datum Skrivtid Luleå University of Technology Kurskod SMD098 Datum 2001-12-17 Skrivtid 14.00 18.00 Tentamen i Beräkningstrukturer Antal uppgifter: 6 Max poäng: 35 Lärare: Jonas Thor Telefon: 2549 Tillåtna hjälpmedel:

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

Asynchronous FIFO Design

Asynchronous FIFO Design Asynchronous FIFO Design 2.1 Introduction: An Asynchronous FIFO Design refers to a FIFO Design where in the data values are written to the FIFO memory from one clock domain and the data values are read

More information

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT USING VERILOG LANGUAGE MANIT KANTAWALA Dept. of Electronic & Communication Global Institute of Technology, Jaipur Rajasthan, India Abstract: In this Paper

More information

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM Mansi Jhamb, Sugam Kapoor USIT, GGSIPU Sector 16-C, Dwarka, New Delhi-110078, India Abstract This paper demonstrates an asynchronous

More information

Lab 6: Intro to FPGAs

Lab 6: Intro to FPGAs Lab 6: Intro to FPGAs UC Davis Physics 116B Rev 2/22/2018 There s a saying when dealing with complex electronic systems: If you can make the LED blink, you re 90% of the way there., so in this lab you

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

Matrix Manipulation Using High Computing Field Programmable Gate Arrays

Matrix Manipulation Using High Computing Field Programmable Gate Arrays Matrix Manipulation Using High Computing Field Programmable Gate Arrays 1 Mr.Rounak R. Gupta, 2 Prof. Atul S. Joshi Department of Electronics and Telecommunication Engineering, Sipna College of Engineering

More information

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Enhancement of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Sushmita Bilani Department of Electronics and Communication (Embedded System & VLSI Design),

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

ECE 448 Lecture 5. FPGA Devices

ECE 448 Lecture 5. FPGA Devices ECE 448 Lecture 5 FPGA Devices George Mason University Required reading Spartan-6 FPGA Configurable Logic Block: User Guide CLB Overview Slice Description 2 Recommended reading Highly recommended for the

More information

Spiral 3-1. Hardware/Software Interfacing

Spiral 3-1. Hardware/Software Interfacing 3-1.1 Spiral 3-1 Hardware/Software Interfacing 3-1.2 Learning Outcomes I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

COE Design Process Tutorial

COE Design Process Tutorial COE 758 - Design Process Tutorial I. Introduction This tutorial describes a formal design process for the creation of digital systems. The aim of this design process is to provide a systematic approach

More information

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Arash Nosrat Faculty of Engineering Shahid Chamran University Ahvaz, Iran Yousef S. Kavian

More information

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques FSM Components XST features: Specific inference capabilities for synchronous Finite State Machine (FSM) components. Built-in FSM encoding strategies to accommodate your optimization goals. You may also

More information

Physics 623. FPGA I Construction of a Synchronous Counter Aug. 4, 2008

Physics 623. FPGA I Construction of a Synchronous Counter Aug. 4, 2008 Physics 623 FPGA I onstruction of a Synchronous ounter Aug. 4, 2008 1 The Goal of This Experiment You will design a small digital circuit, download the design to a Field Programmable Gate Array (FPGA)

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications This tutorial document was last validated using the following software version: ISE Design Suite 14.5

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information