Transient Latch-up in Large NFET Switch Arrays. Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc.

Size: px
Start display at page:

Download "Transient Latch-up in Large NFET Switch Arrays. Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc."

Transcription

1 Transient Latch-up in Large NFET Switch Arrays Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc.

2 Biography Nathaniel (Nate) Peachey received his Ph.D. in Physical Chemistry in 1994 from the University of Nebraska Lincoln and then was awarded a Director s Funded Postdoctoral Fellowship at the Los Alamos National Laboratory. In 1996 he joined Atmel Corporation in Colorado Springs. Over the next several years Dr. Peachey held various positions at Atmel including process engineer, technology development engineer, device engineer, and circuit design engineer. In 2005 Dr. Peachey accepted the position of engineering manager for the ESD design group at RF Micro Devices. In this capacity he was responsible for the development of ESD protection for all of the technologies that RFMD designed in including both silicon and GaAs. Dr. Peachey has authored and coauthored over 20 technical journal submissions. He has also submitted 6 patents that have either been granted or are pending. In 2009 Dr. Peachey was elected to the Board of Directors for the ESD Association. Currently he is serving as the Standards Chair for the Association.

3 Abstract Power management circuits often employ large switching arrays to provide a stable voltage output. The switching arrays for a typical dc-dc converter consist of PMOS and NMOS arrays that are located at the output of the device. Rapid switching of this output driver can result in significant voltage transients on both VBAT and GND. These switching transients, if not properly managed, can also result in detrimental displacement currents in the substrate that can be large enough to trigger snapback during operation. Prototype products were designed and tested in hardware. Initial results showed that the parts could not be operated at the nominal supply voltage without damaging the parts. Failure analysis showed damage on the large NMOS transistor in the switching array. This was due to snapback voltage lowering such that the NMOS transistor entered snapback and then failed. The initial analysis suggested that the large displacement currents from the switching of the PMOS arrays provided the substrate current that triggered snapback. To address this, triple wells (isolated PWELL) were implemented. Testing of the revised designs indicated that, particularly for larger designs, the triple well implementation did not completely solve the problem. Additional review of the device physics of the snapback process indicated that the switch transient could couple into the substrate through the NMOS drain in such a manner to initiate snapback. Addressing this required decreasing the substrate ties in the isolated PWELL. This presentation also touches on the testing and characterization of these products. Questions are raised about characterization of this problem such that there is no danger in implementing these designs in final products.

4 Problem Defined DC-DC-Converter Schematic VBAT Feedback and Control Driver Circuit MP0 MN0 LX External Filter VOUT L C Circuit on Chip GND

5 Problem Defined DC-DC-Converter Operation DC-DC converter intended to supply a stable voltage. Must be loaded with external filter before operating. Switching array transistors are up to 100+ mm total width. Efficiency of operation is improved by rapid switching of the transistor arrays. Switching of these transistor arrays is known to produce transients. Transients are increased by increased switching speeds.

6 Problem Defined NFET arrays failed when parts were initially tested. Failures observed even at supply voltages that were below nominal voltage for the part. VBAT MP0 MN0 GND Transients immediately suspected as the source of the failures.

7 Investigation Voltage transients measured on the LX node show 1.6V over shoot at 5V VBAT Negative going transients are as low as -2V when the PFET switches off. When the PFET switches off, the NFET will turn on. NFET switching on (V gs >0) will also lower the snapback voltage.

8 Investigation Measurements of the NFET under substrate biased conditions changes snapback voltage. If a substrate voltage of 1V is applied the snapback voltage reduces to around 6.5V. If the transients observed on LX node couple into substrate, NFET snapback voltage could be reduced.

9 Snapback Physics NMOS Snapback Physics Source N+ S/D P-sub Gate Poly Gate R sub Parasitic Bipolar Device Drain N+ S/D

10 Snapback Physics Triggering the Parasitic Bipolar Device V sx = [(M 1)(I DS + I C ) I B ]R sub where: V sx is substrate voltage M is the avalanche multiplication factor I DS is the drain to source current I C is the collector current I B is the base current R sub is the substrate resistance Simplistically, snapback in silicon occurs when the V sx becomes 0.7V higher than the source voltage.

11 Snapback Physics Triggering the Parasitic Bipolar Device From the previous equation there are 3 ways to trigger snapback: 1. Increase I DS done by raising gate voltage and turning on transistor. (gate-aided breakdown). 2. Add substrate current (-I B ). (Substrate triggering mechanism.) 3. Transient voltage at the drain. I C = C CB (dv CB /dt) where: C CB is collector/base (drain to substrate) capacitance V CB is the collector to base voltage

12 Failure Mechanism Analysis Triggering the NFET Array PMOS Array P+ P+ NWELL NMOS Array N+ N+ Switching transients generated in PMOS array become displacement currents in substrate. If the displacement currents are large enough due to the size of the PMOS array, these can provide a current to the NMOS array. This displacement current is generated at the same time that the NMOS gate goes high (combining mechanisms #1 and #2 listed above).

13 TCAD Simulations Simulated Structures PMOS NMOS Guard Rings Standard structure showing guard rings and PMOS and NMOS devices. Isolated PWELL structure showing triple well surrounding NMOS. Isolated PWELL tied to quiet ground.

14 Voltage TCAD Simulations PWELL swings up over 1V during switching Any transient over 0.7V can lower snapback voltage DNWL DNWL Std Std LX Node P-WELL Node Isolated PWELL (DNWL) shows no transients at all

15 Implementing Isolated PWELL PMOS Array P+ P+ NWELL NMOS Array N+ N+ The simplest method to isolate NMOS place in a triple well. This was done with mixed results: For smaller products, the failure voltage level raised to well above required limits. For larger arrays, while the failure voltage was raised, it remained lower than was acceptable. Further analysis was needed.

16 Additional Failure Mechanism Switching Transients at the Drain Switching transients generated at drain CLK CLK LX Filter These transient, if large enough, can provide enough C CB (dv CB /dt) current to trigger the parasitic bipolar device. The addition of DNW will not help avoid this type of triggering. Addressing this problem required reducing the spacing between substrate ties.

17 Discussion of Results The two different snapback triggering mechanisms. Smaller arrays displacement current explained failure mechanism. Larger arrays both mechanisms contribute to failures. Actions: 1. All NMOS arrays must be placed in isolated PWELL. 2. For larger products, spacing between substrate ties must also be reduced.

18 Test Considerations 1. Latch-up testing difficult to perform. Any switching of part during test set-up will damage it. 2. V MAX for parts set lower than 1.5 times the supply voltage. 3. Snapback mechanism a form of transient latch-up. The following questions remain: a. Should there be a test to characterize this vulnerability? b. Is there any increased vulnerability to early failure due to transients pushing NFET close to snapback?

19 Conclusions Power management products are becoming increasingly common for handheld consumer products. These present unique problems in managing the snapback and subsequent failure of the large NMOS array. Two different mechanisms have been identified that are responsible for the failures observed in these products. Characterization and reliability checking for these products remains a concern.

20 References Blerina Aliaj, Vladislav Vashchenko, Philippe Lindorfer, Andrew Tcherniaev, Maxim Ershov, Juin j. Liou, Peter Hopper, Study of Power Arrays in ESD Operation Regimes, EOS/ESD Symposium 2010, pp C. Gezgin, Predicting Load Transients Response to Output Voltage in DC-DC Converters, 19 th Annual IEEE Applied Power Electronic Conference and Exposition, 2004, pp D. Chen, L. He, X. Yan, A Current-Mode DC-DC Buck Converter with High Stability Independent of Load and Supply Voltage, IEEE International Symposium on Industrial Electronics, 2006, pp J. R. M. Luchies, C. G. C. M. de Kort, J. F. Verweij, Fast turn-on on an NMOS ESD protection transistor: measurements and simulations, Journal of Electrostatics, Vol. 36 (1995), pp

21 Acknowledgments The authors would like to thank Robert Gauthier at IBM for information on the NMOS transistor and Mike Kay at RFMD for the oscilloscope screen images of the DC-DC converter output signals.

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications 1 technische universität dortmund International ESD Workshop: 2010 Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications Yiqun Cao 1,2, Ulrich Glaser 1, Alevtina Podgaynaya

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

IN DEEP submicrometer CMOS technology, electrostatic

IN DEEP submicrometer CMOS technology, electrostatic 102 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 6, NO. 1, MARCH 2006 ESD Failure Mechanisms of Analog I/O Cells in 0.18-µm CMOS Technology Ming-Dou Ker, Senior Member, IEEE, Shih-Hung Chen,

More information

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes 58 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 3, NO. 3, SEPTEMBER 2003 SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

ELECTROSTATIC (ESD) has been an important reliability

ELECTROSTATIC (ESD) has been an important reliability IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 10, OCTOBER 2006 2187 Design on Power-Rail ESD Clamp Circuit for 3.3-V I/O Interface by Using Only 1-V/2.5-V Low-Voltage Devices

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process 320 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 SCR Device Fabricated With Dummy-Gate Structure to Improve Turn-On Speed for Effective ESD Protection in CMOS Technology Ming-Dou

More information

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology Philippe Renaud, Amaury Gendron, Marise Bafleur, Nicolas Nolhier To cite this version:

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE,

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 1751 The Impact of Low-Holding-Voltage Issue in High-Voltage CMOS Technology and the Design of Latchup-Free Power-Rail ESD Clamp Circuit

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

IN ADVANCED nanoscale CMOS technology, the electrostatic

IN ADVANCED nanoscale CMOS technology, the electrostatic IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 3, JUNE 2013 1011 High Area-Efficient ESD Clamp Circuit With Equivalent RC-Based Detection Mechanism in a 65-nm CMOS Process Chih-Ting Yeh, Student Member,

More information

Microelectronics Reliability 47 (2007) Introductory Invited Paper

Microelectronics Reliability 47 (2007) Introductory Invited Paper Microelectronics Reliability 47 (2007) 27 35 Introductory Invited Paper Overview on ESD protection design for mixed-voltage interfaces with high-voltage-tolerant power-rail ESD clamp circuits in low-voltage

More information

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Recent Patents on Engineering 2007, 1, 000-000 1 ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Ming-Dou Ker* and Yuan-Wen

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 11, NOVEMBER 2008 2533 Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 53 (2013) 208 214 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel PMOS-based power-rail ESD

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

WITH the decrease of the power supply voltage for

WITH the decrease of the power supply voltage for IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 9, NO. 1, MARCH 2009 49 Design of High-Voltage-Tolerant ESD Protection Circuit in Low-Voltage CMOS Processes Ming-Dou Ker, Fellow, IEEE, and

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices 1380 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices Ming-Dou Ker, Senior Member, IEEE, and Kuo-Chun

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

WITH the migration toward shallower junctions, much

WITH the migration toward shallower junctions, much 328 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 ESD Implantations for On-Chip ESD Protection With Layout Consideration in 0.18-m Salicided CMOS Technology Ming-Dou Ker, Senior

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

WITH THE continuously scaled-down CMOS technology,

WITH THE continuously scaled-down CMOS technology, 2626 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 10, OCTOBER 2012 Power-Rail ESD Clamp Circuit With Ultralow Standby Leakage Current and High Area Efficiency in Nanometer CMOS Technology Chih-Ting

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

ADVANCED ESD PROTECTION

ADVANCED ESD PROTECTION ADVANCED ESD PROTECTION June 8, 21 Prof. Albert Wang Dept. of Electrical Engineering University of California 417 EBU2, Riverside, CA 92521 Email: aw@ee.ucr.edu Tel: (951) 827-2555 http://www.ee.ucr.edu/~aw

More information

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Bookmark file Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Stephen V. Kosonocky, Mike Immediato, Peter Cottrell*, Terence Hook*, Randy Mann*, Jeff Brown* IBM T.J. Watson Research

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086

RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 MAX726xCK Rev. A RELIABILITY REPORT FOR MAX726xCK PLASTIC ENCAPSULATED DEVICES June 20, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord Quality

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Texas Instruments Solution for Undershoot Protection for Bus Switches

Texas Instruments Solution for Undershoot Protection for Bus Switches Application Report SCDA007 - APRIL 2000 Texas Instruments Solution for Undershoot Protection for Bus Switches Nadira Sultana and Chris Graves Standard Linear & Logic ABSTRACT Three solutions for undershoot

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

TO IMPROVE circuit operating speed and performance,

TO IMPROVE circuit operating speed and performance, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 2, FEBRUARY 2006 235 Overview on Electrostatic Discharge Protection Designs for Mixed-Voltage I/O Interfaces: Design Concept and

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS BY NICHOLAS ALLEN OLSON DISSERTATION Submitted in partial fulfillment of the requirements for the

More information

Operating Requirements

Operating Requirements Operating Requirements for Altera Devices January 1998, ver. 8 Data Sheet Introduction Altera devices combine unique programmable logic architectures with advanced CMOS processes to provide exceptional

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

United States Patent 19 Ker

United States Patent 19 Ker United States Patent 19 Ker US005744842A 11 Patent Number: 45 Date of Patent: 5,744,842 Apr. 28, 1998 54 AREA-EFFICIENT WDD-TO-VSS ESD PROTECTION CIRCUIT 75 Inventor: Ming-Dou Ker, Hsinchu, Taiwan 73 Assignee:

More information

Product Information. IC Outputs Terms & Definitions

Product Information. IC Outputs Terms & Definitions Product Information IC Outputs Terms & Definitions These terms and their definitions are taken from EIA/JEDEC Standard No. 99-A, Terms, Definitions, and Letter Symbols for Microelectronic Devices, clause

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 50 (2010) 821 830 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on NMOS-based power-rail

More information

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

More information

ESD 충북대학교 전자정보대학 김영석

ESD 충북대학교 전자정보대학 김영석 ESD 충북대학교 2011.9 1 ElectroStatic Charge Generation When 2 Surfaces in Contact then Separate Some Atom Electrons Move Causing Imbalance One Surface Has Positive Charge & One Surface Has Negative Charge

More information

RMAP software for resistance verification of power nets and ESD protection structures

RMAP software for resistance verification of power nets and ESD protection structures RMAP software for resistance verification of power nets and ESD protection structures Maxim Ershov*, Meruzhan Cadjan*, Yuri Feinberg*, and Thomas Jochum** (*) Silicon Frontline Technology, (**) Intersil

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

THE trend of IC technology is toward smaller device dimension

THE trend of IC technology is toward smaller device dimension 24 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 4, NO. 1, MARCH 2004 Abnormal ESD Failure Mechanism in High-Pin-Count BGA Packaged ICs Due to Stressing Nonconnected Balls Wen-Yu Lo and Ming-Dou

More information

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008 I/O and ESD Device Optimization for Nanometer Node CMOS Technologies IRCC IIT-Bombay industry impact award 2008 Team members Mayank Shrivastava (Ph.D. from IIT-Bombay, Graduated in 2010) Faculty Members

More information

AS ultra-large-scale-integrated (ULSI) circuits are being

AS ultra-large-scale-integrated (ULSI) circuits are being IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 3, SEPTEMBER 2008 549 Active ESD Protection Design for Interface Circuits Between Separated Power Domains Against Cross-Power-Domain ESD

More information

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories 4590 Patrick Henry Drive Santa Clara, CA 95054-1817 Telephone: (408) 988-3500 Facsimile: (408) 988-0279 CMOS RFIC Switches: Simple and inexpensive, the latest 2.5GHz versions pose a legitimate challenge

More information

Design Techniques for 45nm SOI Technology. Christophe Frey Engineering manager SOI design center

Design Techniques for 45nm SOI Technology. Christophe Frey Engineering manager SOI design center Design Techniques for 45nm SOI Technology Christophe Frey Engineering manager SOI design center Agenda SOI introduction SOI design Challenges Standard cell design IO design History effect characterization

More information

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process 1050 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003 Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process Ming-Dou Ker, Senior Member, IEEE,

More information

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits Qiang Cui Juin J. Liou Jean-Jacques Hajjar Javier Salcedo Yuanzhong Zhou Srivatsan Parthasarathy On-Chip Electro-Static

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

JEDEC SOLID STATE TECHNOLOGY ASSOCIATION

JEDEC SOLID STATE TECHNOLOGY ASSOCIATION JEDEC STANDARD IC Latch-Up Test JESD78A (Revision of JESD78, March 1997) FEBRUARY 2006 JEDEC SOLID STATE TECHNOLOGY ASSOCIATION NOTICE JEDEC standards and publications contain material that has been prepared,

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 543 Native-NMOS-Triggered SCR With Faster Turn-On Speed for Effective ESD Protection in a 0.13-µm CMOS Process Ming-Dou

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1 E40M MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers M. Horowitz, J. Plummer, R. Howe 1 Reading Chapter 4 in the reader For more details look at A&L 5.1 Digital Signals (goes in much

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers 1046 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers Ming-Dou Ker, Senior Member, IEEE, and Chien-Hui Chuang

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates

E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates E85: Digital Design and Computer Engineering Lab 1: Electrical Characteristics of Logic Gates Objective The purpose of this lab is to become comfortable with logic gates as physical objects, to interpret

More information

CHAPTER 5. Voltage Regulator

CHAPTER 5. Voltage Regulator CHAPTER 5 Voltage Regulator In your robot, the energy is derived from batteries. Specifically, there are two sets of batteries wired up to act as voltage sources; a 9V battery, and two 1.5V batteries in

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

WITH the process evolutions, gate oxide thickness has

WITH the process evolutions, gate oxide thickness has 44 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 1, JANUARY 2005 ESD Protection Design for Mixed-Voltage I/O Buffer With Substrate-Triggered Circuit Ming-Dou Ker, Senior Member,

More information

Design of dynamic- oating-gate technique for output ESD protection in deep-submicron CMOS technology

Design of dynamic- oating-gate technique for output ESD protection in deep-submicron CMOS technology PERGAMON Solid-State Electronics 43 (1999) 375±393 Design of dynamic- oating-gate technique for output ESD protection in deep-submicron CMOS technology Hun-Hsien Chang a, Ming-Dou Ker b, Jiin-Chuan Wu

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

POWER CONTROL BOARD. removed if a sampling of the +5V digital is not. desired. If any one of them is out of range all +5V

POWER CONTROL BOARD. removed if a sampling of the +5V digital is not. desired. If any one of them is out of range all +5V POWER CONTROL BOARD The power control board conditions the DC power to protect the CCD from overvoltage transients. The board passes three analog voltages (high voltage, nominally +36V, and low voltages,

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

AZ H Transient Voltage Suppressing Device For ESD/Transient Protection. Features. Circuit Diagram / Pin Configuration. Applications.

AZ H Transient Voltage Suppressing Device For ESD/Transient Protection. Features. Circuit Diagram / Pin Configuration. Applications. Features ESD Protection for Line with Bi-directional. Provide ESD protection for the protected line to IEC 6000-4- (ESD) ±0kV (air), ±kv (contact) IEC 6000-4-4 (EFT) 40A (5/50ns) IEC 6000-4-5 (Lightning)

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

ELECTROSTATIC discharge (ESD) is considered as a

ELECTROSTATIC discharge (ESD) is considered as a 358 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 2, JUNE 2008 A New Flip-Flop-Based Transient Power Supply Clamp for ESD Protection Hossein Sarbishaei, Member, IEEE, Oleg Semenov,

More information

THE ELECTROSTATIC discharge (ESD) event has become

THE ELECTROSTATIC discharge (ESD) event has become IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 50, NO. 1, FEBRUARY 2008 13 On-Chip Transient Detection Circuit for System-Level ESD Protection in CMOS Integrated Circuits to Meet Electromagnetic

More information