Transaction-Level Models for PowerPC and CoreConnect

Size: px
Start display at page:

Download "Transaction-Level Models for PowerPC and CoreConnect"

Transcription

1 Transaction-Level Models for PowerPC and CoreConnect 11 th European SystemC Users Group Meeting Reinaldo Bergamaschi IBM T. J. Watson Research Center Yorktown Heights, NY, USA Copyright IBM Corporation 2005

2 Contents SystemC Modeling of IBM s CoreConnect Architecture POWER.ORG

3 Typical Applications EBC 405 CPU UIC DMA OPB Arbiter GPIO UART0 PLB Arbiter PLB 64 bit UART1 HSMC PM MAL PLB-OPB Bridge IIC GPT CLOCK/RESET GEN PLL Clocks / resets Private OPB RX FIFO EMAC TX FIFO OPB 32 bit

4 Typical Applications PWM 1284 Smart Cards I2C1 I2C2 Service MicroController UART1 UART2 GPIO TV/VCR Timers/ PWM 1284 Smart Card I/F 2 I C I/F SCP I/F SICC GPIO DENC OPB Bus Interrupt Controller OPB Bridge MPEG2 Video Decoder PLB-1 PLB-2 MPEG2/DVB Transport OSD 4K- I Cache 401 CPU 2K- D Cache OCM Memory DVB Descram MPEG2 Audio Decoder DMA Controller C R O S S - B A R S W I T C H PLB PLB P M O Y W T E E R SDRAM I/F PLL-System PLL-Audio Clock Gen. EDO DRAM SRAM I/F F 1 L M A B S H S 2 D M R B A M D R A M EGV Port Ext. DENC NIM To 1394 Audio D/As L R VCXO

5 Transaction-Level Modeling Abstractions? Algorithmic level (AL)? Architecture/implementation independent, e.g., Matlab, UML? Programmers View (PV)? Bit-true representation of the HW, register accurate, no detailed timing? Programmers View + Timing (PVT)? Same as PV plus detailed timing and synchronization (Cycle approximate in most cases, accurate in a few cases)? Cycle Accurate (CA)? Clocked abstraction, interfaces and transactions? RTL? Clocked abstraction, actual chip signals De-facto implementations? Mixed of PVT and CA. CA for communication, PVT for computation

6 Transaction-Level Modeling Abstractions Courtesy of SpiraTech Ltd., UK (

7 SystemC Modeling of IBM s CoreConnect Architecture Transactions:? High-level functional transactions: CA for communication, PVT for computation.? Information is passed via special request structures? APIs:? blocking_read (plb_request *p), blocking_write (plb_request *p)? non_blocking_read ( ), non_blocking_write ( )? direct_read ( ), direct_write ( )? All protocol handshaking hidden inside these APIs? Users do not need to know how protocol works Goal? Run application code at reasonable speeds (e.g., 100K c/s)? Analyze latencies, throughput, bus contention? Architectural trade-offs

8 SystemC Modeling of IBM s CoreConnect Architecture DCR Arbiter DCR Bus dcr_arbiter_if dcr_bus_if PLB Master PLB Master intrpt_ctr_if UIC intrpt_req_if plb_bus_if dcr_slave_if PLB_OPB Bridge OPB Slave plb_arbiter_if PLB Bus plb_slave_if plb_bus_if OPB_PLB Bridge OPB Bus opb_slave_if opb_bus_if OPB Master PLB Arbiter PLB Slave DMA Controller opb_bus_if OPB Arbiter opb_arbiter_if

9 SystemC Modeling of IBM s CoreConnect Architecture ISS + SystemC Wrapper ICU plb_mp1 DCU plb_mp2 PLB Arbiter PLB Bus plb_arbiter_if plb_ap plb_sp1 PLB_OPB Bridge opb_mp1 OPB Bus opb_sp1 Memory Controller mem_p Address map: 0x6000 to 0x6FFF Memory Model #define Ext_Mem 0x6F00 main (..) { char *p = Ext_Mem; // ; *p = A + B; //.; } 1) Issw.plb_mp2->non_blocking_write (0x6F00, sum(a+b)) 2) plb_bus.plb_ap->arbitrate_request( ) 3) Plb queries its slaves and finds plb_opb_bridge mapped to address 0x6F00 4) plb_bus.plb_sp1->write(0x6f00, sum) 5) brg.opb_mp1->non_blocking_write (0x6F00, sum) 6) opb_bus.opb_sp1->write(0x6f00, sum) 7) mc.mem_p->write(0x6f00, sum) 8) Mem[0x6F00] = sum

10 SystemC Modeling of IBM s CoreConnect Architecture Blocking/Non-blocking and Burst/Single transfer? These are not the same thing!? Blocking/Non-blocking have nothing to do with the protocol? Burst/Single Transfer modes depend on the bus protocol PowerPC 405 can issue a max of 2 PLB requests at a time? Instruction fetch (ICU) and Data read/write (DCU)? Both of them can be burst or single transfer? But they must be non-blocking (otherwise they can t be issued concurrently) ISS + SystemC Wrapper ICU plb_mp1 plb_mp2 DCU PLB Arbiter PLB Bus plb_arbiter_if plb_ap

11 SystemC Modeling of IBM s CoreConnect Architecture Interrupt Transactions? Interrupt Slave issues interrupt requests through the intrpt_req_port? The Interrupt controller check whether the request is enabled/critical/non-critical, and passes the request to the CPU via the intrpt_ctr_if port ISS + SystemC Wrapper Intrpt_ctr_if Intrpt_req_port Interrupt Controller Interrupt Slave Interrupt Slave Interrupt Slave Intrpt_req_port

12 POWER.ORG Power.org is an open developer community and standards organization whose mission is to develop and enable specifications for the promotion and expansion of the Power Architecture solutions and ecosystem Members intend to define open Power Architecture specifications initially focusing on:? Bus Architecture specifications? Server platform specifications? Other workgroups may be defined in the future SystemC models will be made available to the Power.org community later this year

13 POWER.ORG Initial List of members:? AMCC? Bull? Cadence Design Systems? Chartered Semiconductor Manufacturing? Culturecom? IBM? Jabil Circuit? Novell? Red Hat? Sony? Shanghai Belling? Synopsys? Thales? Tundra Semiconductor? Wistron

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005 Power.org, September 2005 IBM PowerPC Enablement Kit: ChipBench-SLD: System Level and Design Tool Suite PowerPC SystemC Models SLD Tools PowerPC, CoreConnect IP Dr. Nagu Dhanwada, Chief System Level Design

More information

High Level Power Modeling

High Level Power Modeling High Level Power Modeling Jerry Frenkil (Sequence Design) David Hathaway (IBM) Nagu Dhanwada (IBM) Si2 LPC Modeling Working Group Interoperability Standards Collaborative Technology Innovation Through

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire MCF5307 DRAM CONTROLLER MCF5307 DRAM CTRL 1-1 MCF5307 DRAM CONTROLLER MCF5307 MCF5307 DRAM Controller I Addr Gen Supports 2 banks of DRAM Supports External Masters Programmable Wait States & Refresh Timer

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 1 Copyright 2005 CADENCE DESIGN SYSTEMS, INC. SystemC Transaction Level Modeling What is TLM? Communication uses

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Application Note: Embedded Processing XAPP935 (v1.1) June 7, 2007 R Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Abstract This reference system demonstrates the functionality of

More information

PPC405GP Embedded Processor. Errata

PPC405GP Embedded Processor. Errata AMCC 405GP PowerPC Errata Document Issue 1.00 September 2004 PPC405GP Embedded Processor Errata COVER AMCC reserves the right to make changes to its products, its datasheets, or related documentation,

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc.

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc. SystemC abstractions and design refinement for HW- SW SoC design Dündar Dumlugöl Vice President of Engineering, CoWare, Inc. Overview SystemC abstraction levels & design flow Interface Synthesis Analyzing

More information

Systems in Silicon. Converting Élan SC400/410 Design to Élan SC520

Systems in Silicon. Converting Élan SC400/410 Design to Élan SC520 Converting Élan SC400/410 Design to Élan SC520 1 Élan SC400/410 Block Diagram Am486 Core 8K Cache Parallel Port Mobile Logic Blocks PCMCIA (2) (2) PIO 16550 UART SW Compatibility Blocks PIC DMA PIT (2)

More information

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip A Flexible SystemC Simulator for Multiprocessor Systemson-Chip Luca Benini Davide Bertozzi Francesco Menichelli Mauro Olivieri DEIS - Università di Bologna DEIS - Università di Bologna DIE - Università

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

A Methodology for NoC

A Methodology for NoC OCCN On-Chip Communication Architecture OccN A Methodology for NoC AST Grenoble Marcello Coppola Outline SoC today NoC OCCN Case study Conclusion Soc Today: A Variety of Networks & Terminals Ad-Hoc-Net

More information

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs Co-Design and Co-Verification using a Synchronous Language Satnam Singh Xilinx Research Labs Virtex-II PRO Device Array Size Logic Gates PPCs GBIOs BRAMs 2VP2 16 x 22 38K 0 4 12 2VP4 40 x 22 81K 1 4

More information

MC68VZ328 Integrated Processor

MC68VZ328 Integrated Processor .. MC68VZ328 Integrated Processor User s Manual MC68VZ328UM/D Rev. 0, 02/2000 .. MFAX and DragonBall are trademarks of Motorola, Inc. This document contains information on a new product. Specifications

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

IBM Research Report. A Multiprocessor System-on-a-Chip Design Methodology for Networking Applications

IBM Research Report. A Multiprocessor System-on-a-Chip Design Methodology for Networking Applications RC23204 (W0405-040) May 7, 2004 Other IBM Research Report A Multiprocessor System-on-a-Chip Design Methodology for Networking Applications Valentina Salapura, Christos J. Georgiou, Indira Nair IBM Research

More information

ML40x EDK Processor Reference Design

ML40x EDK Processor Reference Design ML40x EDK Processor Reference Design User Guide for EDK 8.1 R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

440GX Application Note

440GX Application Note Migrating to PowerPC 440GX from 440GP: Hardware Considerations January 18, 2008 Abstract The AMCC PowerPC 440GX processor contains several new features that significantly improve its performance over the

More information

Design and System Level Evaluation of a High Performance Memory System for reconfigurable SoC Platforms

Design and System Level Evaluation of a High Performance Memory System for reconfigurable SoC Platforms Design and System Level Evaluation of a High Performance Memory System for reconfigurable SoC Platforms Holger Lange,1, Andreas Koch,1 Tech. Univ. Darmstadt Embedded Systems and Applications Group (ESA)

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Assertion-Based Verification

Assertion-Based Verification Assertion-Based Verification ABV and Formal Property Checking Harry Foster Chief Scientist Verification info@verificationacademy.com www.verificationacademy.com Session Overview After completing this session

More information

Total Impact briq. Hardware Reference. 31st July Revision Overview 2

Total Impact briq. Hardware Reference. 31st July Revision Overview 2 Total Impact briq. Hardware Reference 31st July 2001 Revision 0.4 Contents 1 Overview 2 2 On-board Peripherals 2 2.1 CPU/L2 Cache............................. 2 2.2 PPC/PCI Bridge.............................

More information

OCB-Based SoC Integration

OCB-Based SoC Integration The Present and The Future 黃俊達助理教授 Juinn-Dar Huang, Assistant Professor March 11, 2005 jdhuang@mail.nctu.edu.tw Department of Electronics Engineering National Chiao Tung University 1 Outlines Present Why

More information

Computer Memory. Textbook: Chapter 1

Computer Memory. Textbook: Chapter 1 Computer Memory Textbook: Chapter 1 ARM Cortex-M4 User Guide (Section 2.2 Memory Model) STM32F4xx Technical Reference Manual: Chapter 2 Memory and Bus Architecture Chapter 3 Flash Memory Chapter 36 Flexible

More information

ecog1kg Microcontroller Product Brief

ecog1kg Microcontroller Product Brief ecog1kg Microcontroller Product Brief The ecog1kg is a low-power microcontroller, based on a 16-bit Harvard architecture, with a 24-bit linear code address space (32Mbyte) and 16-bit linear data address

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

ArduCAM-M-2MP Camera Shield

ArduCAM-M-2MP Camera Shield 33275-MP ArduCAM-M-2MP Camera Shield 2MP SPI Camera Hardware Application Note Rev 1.0, Mar 2015 33275-MP ArduCAM-M-2MP Hardware Application Note Table of Contents 1 Introduction... 2 2 Typical Wiring...

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

Lecture 25: Busses. A Typical Computer Organization

Lecture 25: Busses. A Typical Computer Organization S 09 L25-1 18-447 Lecture 25: Busses James C. Hoe Dept of ECE, CMU April 27, 2009 Announcements: Project 4 due this week (no late check off) HW 4 due today Handouts: Practice Final Solutions A Typical

More information

Frequently Asked Questions

Frequently Asked Questions LAN91C111 Frequently Asked Questions 10/100 Non-PCI Ethernet Single Chip MAC and PHY IMPLEMENTATION Q: What is the minimum cycle time for each read and write access (synchronous, asynchronous, burst, non-burst)?

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

1 PC Hardware Basics Microprocessors (A) PC Hardware Basics Fal 2004 Hadassah College Dr. Martin Land

1 PC Hardware Basics Microprocessors (A) PC Hardware Basics Fal 2004 Hadassah College Dr. Martin Land 1 2 Basic Computer Ingredients Processor(s) and co-processors RAM main memory ROM initialization/start-up routines Peripherals: keyboard/mouse, display, mass storage, general I/O (printer, network, sound)

More information

FPGA based embedded processor

FPGA based embedded processor MOTIVATION FPGA based embedded processor With rising gate densities of FPGA devices, many FPGA vendors now offer a processor that either exists in silicon as a hard IP or can be incorporated within the

More information

MCF5253 ColdFire Microprocessor Product Brief

MCF5253 ColdFire Microprocessor Product Brief Freescale Semiconductor Product Brief Document Number: MCF5253PB Rev. 1, 04/2007 MCF5253 ColdFire Microprocessor Product Brief This document provides an overview of the MCF5253 ColdFire processor and general

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Architectural Differences nc. DRAM devices are accessed with a multiplexed address scheme. Each unit of data is accessed by first selecting its row ad

Architectural Differences nc. DRAM devices are accessed with a multiplexed address scheme. Each unit of data is accessed by first selecting its row ad nc. Application Note AN1801 Rev. 0.2, 11/2003 Performance Differences between MPC8240 and the Tsi106 Host Bridge Top Changwatchai Roy Jenevein risc10@email.sps.mot.com CPD Applications This paper discusses

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT

HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT High Performance PPC Based DPU With SpaceWire RMAP Port HIGH PERFORMANCE PPC BASED DPU WITH SPACEWIRE RMAP PORT Session: SpaceWire Components Short Paper Pekka Seppä, Petri Portin Patria Aviation Oy, Systems/Space,

More information

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples.

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples. System On Chip: Design & Modelling (SOC/DAM) Exercises Here is the first set of exercises. These are intended to cover subject groups 1-4 of the SOC/DAM syllabus (R, SC, SD, ESL). These questions are styled

More information

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform Youn-Long Lin Department of Computer Science National Tsing Hua University Hsin-Chu, TAIWAN 300 ylin@cs.nthu.edu.tw 2006/08/16

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

ARM s IP and OSCI TLM 2.0

ARM s IP and OSCI TLM 2.0 ARM s IP and OSCI TLM 2.0 Deploying Implementations of IP at the Programmer s View abstraction level via RealView System Generator ESL Marketing and Engineering System Design Division ARM Q108 1 Contents

More information

Computer-System Organization (cont.)

Computer-System Organization (cont.) Computer-System Organization (cont.) Interrupt time line for a single process doing output. Interrupts are an important part of a computer architecture. Each computer design has its own interrupt mechanism,

More information

Introduction. PURPOSE: - List and explain the 15 i.mx1 modules that are also used on the i.mx21 device.

Introduction. PURPOSE: - List and explain the 15 i.mx1 modules that are also used on the i.mx21 device. Introduction PURPOSE: - List and explain the 15 i.mx1 modules that are also used on the device. OBJECTIVES: - Identify the similarities and differences between the two devices. - Describe the enhancements

More information

PRU Hardware Overview. Building Blocks for PRU Development: Module 1

PRU Hardware Overview. Building Blocks for PRU Development: Module 1 PRU Hardware Overview Building Blocks for PRU Development: Module 1 Agenda SoC Architecture PRU Submodules Example Applications 2 SoC Architecture Building Blocks for PRU Development: PRU Hardware Overview

More information

Computer Systems Organization

Computer Systems Organization The IAS (von Neumann) Machine Computer Systems Organization Input Output Equipment Stored Program concept Main memory storing programs and data ALU operating on binary data Control unit interpreting instructions

More information

Product Series SoC Solutions Product Series 2016

Product Series SoC Solutions Product Series 2016 Product Series Why SPI? or We will discuss why Serial Flash chips are used in many products. What are the advantages and some of the disadvantages. We will explore how SoC Solutions SPI and QSPI IP Cores

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

Building and Using the ATLAS Transactional Memory System

Building and Using the ATLAS Transactional Memory System Building and Using the ATLAS Transactional Memory System Njuguna Njoroge, Sewook Wee, Jared Casper, Justin Burdick, Yuriy Teslyar, Christos Kozyrakis, Kunle Olukotun Computer Systems Laboratory Stanford

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

440GX Application Note

440GX Application Note DDR Memory and the PowerPC 440GP/GX DDR SRAM Controller January 22, 2008 Abstract DDR (double data rate) memory is very similar to existing SDRAM (synchronous dynamic random access memory) in many ways,

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 12 DRAM, DMA Year 3 CS Academic year 2017/2018 1 st semester Lecturer: Radu Danescu The DRAM memory cell X- voltage on Cs; Cs ~ 25fF Write: Cs is charged or discharged

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

BLE 1.4 SPI Driver Design Version 1.x (Draft)

BLE 1.4 SPI Driver Design Version 1.x (Draft) BLE 1.4 SPI Driver Design Version 1.x (Draft) Document Number: TBD TABLE OF CONTENTS 1. FUNCTIONAL OVERVIEW... 1 2. DEFINITIONS, ABBREVIATIONS, ACRONYMS... 2 3. REVISION HISTORY... 2 4. SPI INTERFACE...

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Introduction. PURPOSE: This course explains several important features of the i.mx21 microprocessor.

Introduction. PURPOSE: This course explains several important features of the i.mx21 microprocessor. Introduction PURPOSE: This course explains several important features of the i.mx21 microprocessor. OBJECTIVES: - Describe the features and functions of the ARM926EJ-S TM Core - Explain three processor

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

Status of the QCDOC project

Status of the QCDOC project 1 Status of the QCDOC project P.A. Boyle a Ed]Department of Physics and Astronomy, University of Edinburgh, Edinburgh EH9 3JZ, Scotland b Columbia]Department of Physics, Columbia University, New York,

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

PCI Express Throughput Demo Verilog Source Code User s Guide

PCI Express Throughput Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2009 UG07_01.4 Introduction This user s guide provides details of the Verilog code used for the Lattice PCI Express SFIF Demo (also known as the Throughput Demo).

More information

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390

386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 386EX PC/104 Computer with CompactFlash and PCMCIA SBC1390 Features Small, low cost, ready to run 386EX, 25 or 33MHz Up to 32MB DRAM CompactFlash connector Optional PC Card interface for Wi-Fi, modem,

More information

SAE5C Computer Organization and Architecture. Unit : I - V

SAE5C Computer Organization and Architecture. Unit : I - V SAE5C Computer Organization and Architecture Unit : I - V UNIT-I Evolution of Pentium and Power PC Evolution of Computer Components functions Interconnection Bus Basics of PCI Memory:Characteristics,Hierarchy

More information

Chapter 8 Memory Basics

Chapter 8 Memory Basics Logic and Computer Design Fundamentals Chapter 8 Memory Basics Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Memory definitions Random Access

More information

StrongARM** SA-110/21285 Evaluation Board

StrongARM** SA-110/21285 Evaluation Board StrongARM** SA-110/21285 Evaluation Board Brief Datasheet Product Features Intel offers a StrongARM** SA-110/21285 Evaluation Board (EBSA-285) that provides a flexible hardware environment to help manufacturers

More information

TI s PCI2040 PCI-to-DSP Bridge

TI s PCI2040 PCI-to-DSP Bridge TI s PCI2040 PCI-to-DSP Bridge Brian G. Carlson - Sr. DSP Engineer DNA Enterprises, Inc. August 5, 1999 E-mail: bcarlson@dnaent.com 1 Agenda Introduction to the PCI Bus DSP Host Port Interface (HPI) Overview

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

UNIT II PROCESSOR AND MEMORY ORGANIZATION

UNIT II PROCESSOR AND MEMORY ORGANIZATION UNIT II PROCESSOR AND MEMORY ORGANIZATION Structural units in a processor; selection of processor & memory devices; shared memory; DMA; interfacing processor, memory and I/O units; memory management Cache

More information

Platform-based SoC Design

Platform-based SoC Design Platform-based SoC Design Res Saleh University of British Columbia Dept. of ECE res@ece.ubc.ca 1 Evolution from ASICs to Platform-Based Design For SoC s, Platform-Based Design is the next logical evolution

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

CS 261 Fall Mike Lam, Professor. Memory

CS 261 Fall Mike Lam, Professor. Memory CS 261 Fall 2016 Mike Lam, Professor Memory Topics Memory hierarchy overview Storage technologies SRAM DRAM PROM / flash Disk storage Tape and network storage I/O architecture Storage trends Latency comparisons

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II An Introduction to BORPH Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II Language Design Environment Applications OS System Integration Hardware System Integration Software Language

More information

Tech Spec for SDXC Host Controller

Tech Spec for SDXC Host Controller Tech Spec for SDXC Host Controller iwave Systems Technologies Pvt. Ltd. Page 1 of 16 Table of Contents 1 Introduction 4 1.1 Overview 4 1.2 Features 4 1.3 Acronyms and Abbreviations 5 2 Host Controller

More information

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001 PMC-DA816 8 Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL 796-10-000-4000 Version 1.0 June 2001 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel: (480) 838-2428 Fax:

More information

Am186ER/Am188ER AMD continues 16-bit innovation

Am186ER/Am188ER AMD continues 16-bit innovation Am186ER/Am188ER AMD continues 16-bit innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Am186ER and Am188ER Am186 System Evolution 80C186 Based 3.37 MIP System Am186EM Based

More information

PowerPC on NetFPGA CSE 237B. Erik Rubow

PowerPC on NetFPGA CSE 237B. Erik Rubow PowerPC on NetFPGA CSE 237B Erik Rubow NetFPGA PCI card + FPGA + 4 GbE ports FPGA (Virtex II Pro) has 2 PowerPC hard cores Untapped resource within NetFPGA community Goals Evaluate performance of on chip

More information