HW & SW co-verification of baseband HSPA Processor with Seamless PSP

Size: px
Start display at page:

Download "HW & SW co-verification of baseband HSPA Processor with Seamless PSP"

Transcription

1 HW & SW co-verification of baseband HSPA Processor with Seamless PSP Zheng Li, Xuedong Yang, Bing Wang, Zhitao Lu, Lawrence Yang, James Gualdoni, Jagan Raghavendran Steven Swanchara, William Hinkel, Scott Wincklhofer, Marc Shelton, Raymond Tsui WOCC2007, NJIT, April 27, 2007

2 Overview The Structure of UMTS HSPA channels Co-design and co-verification advantages TTT significance with HW/SW co-verification Setup Co-verification environment with Seamless PSP HSPA Baseband Channel processing Explore HDL Link to Vstation for speeding up HW/SW emulation Summary

3 Structure of the HSPA channels Downlink HS-DSCH (Physical channel ) HS-SCCH (Physical channel, associated sygnalling ) E-AGCH (Physical Channel, feedback ) E-RGCH (Physical Channel, feedback ) E-HICH (Physical Channel, feedback ) Uplink : E-DPDCH (User Info) E-DPCCH (Physical channel, Associated Signaling ) HS-DPCCH (Physical channel, feedback ) UE Node B

4 Co-design and verification advantages TTT: In the early stage of baseband channel card development of HSPA (High Speed Packet Access) for W-CDMA system, an effective Hardware and Software co-verification platform can help the team to reduce the TTT (Time To Technology) period. Cycle-accurate Simulation: Co-simulation or co-verification environment (CVE) with Seamless PSP Simulator and VHDL HW simulator will help the developers to shorten the time for development. Benefits to both HW and SW designers

5 High level abstract v.s. low level design The configuration and execution of co-verification tool takes place in a higher abstract level, while the DSP FW and RTL partition can progress in specific lower design level and the executable code will target to the physical board when it s available. Using the Seamless CVE simulator on Unix station, with the HSPA symbol-level control logic being verified in a DSP simulator, the chip-level signal processing can be verified parallel in a VHDL simulator in the same environment that keeps the design consistency.

6 The significance of co-verification HW Design SW Design Time Gap Board level testing Board level testing Board level testing Coverification Job done TTT TTM Much shorter TTT compared to TTM Closed the Time Gap between HW/SW develop & Sys test. Reduced system integration time.

7 Modulation scheme & HSDPA peak rate QPSK: Channel Bit Rate = 480 kbps Channel Symbol Rate = 240 ksps Bits/HS-DSCH Subframe = 960 bit/subfrm Peak Rate = 960 x 15 / 2msec = 7.2 Mbps 16 QAM: Channel Bit Rate = 960 kbps Channel Symbol Rate = 240 ksps Bits/HS-DSCH Subframe = 1920 bit/subfrm Peak Rate = 1920 x 15 /2ms = 14.4 Mbps

8 Explore Peak rate HSDPA system 16 QAM SC1 (16 chips) 1920 bits / HS- DSCH subframe 1 subframe = 2 msec 480 mod Sym SC x 10^6 chip/sec Basic Coding R = 1/ bits / HS- DSCH subframe 480 mod Sym Information: bits Max Transport Block Size Turbo Coding code bits Symbol Secletion bits 1920 bits / HS- DSCH subframe 480 mod Sym SC3 Data Rate = bits / 2 msec = Mbps Effective Coding Rate = bits / = SC bits / HS- DSCH subframe 480 mod Sym

9 Modulation scheme & HSUPA Peak rate C 8,0 QPSK C 4,0 C 2,0 C 8,1 Data SF=4 is 960 kbps 1920 bits/tti C 4,1 C 1,0 C 8,3 I channel: = 5760 bits Q channel: = 5760 bits bits/tti = 5.76 Mbps C 4,2 per TTI = 2ms C 8,5 C 2,1 Data SF=2 is 1920 kbps C 4, bits/tti C 8,7

10 Processor Support Package HW/SW PSP (processor support package) Models in industry for ADI, ARM, IBM, MIPS, StartCore, TI, ZSP,, processor devices. PSP model simulates most of the pins of the industrial Processor chip device accurately. Seamless CVE model.

11 Prepare DSP design and HDL design for the Seamless PSP and Modelsim DSP FW design HDL SW design Select the proper: Compiler Assmebler Linker vlib work: Creating HDL design library Vcom: Compile the design package DSP executable image For DSP Simulator HDL executable image For HDL ModleSim

12 Format conversion to fit the DSP PSP simulator DSP Simulator in Windows CVE: Reloadable Executable file PSP Format conversion Format conversion DSP Simulator in UNIX CVE: Reloadable Executable file

13 HSPA baseband processor co-simulation External SRAM EMIF Bus EMIF Interupt Acknowledge DSP Simulator: Symbol level processing Seamless PSP of DSP processor mem EDMA Transfer P o r t HDL simulator: Chip level processing Matchpoint Matchpoint Test Vectors Generator The developed DSP software and HW RTL code will b re-used on the physical HSPA channel processor card simultaneously or later in the lab or in the field.

14 HSPA Baseband processing GUI: Seamless PSP, DSP debugger and Modelsim Seamless Configuration Define memory mapping for all processors & registers HDL simulator Modelsim invocation DSP Software simulator invocation

15 Baseband channel processing Rel 99 Channel setup HSPA channel setup HSPA Parameter configuration Reconfiguration Handover Power adjustment Measurement Error monitoring... Request Response Scheduler Data/ control Data/ control Symbol level Processor Data/ control Data/ control Chip level Processor

16 Explore Vstation emulation with HDL Link Workstation Simulator VStation Emulator HDL Testbench HDL LINK Transaction Interface Portal co-modeling Design Under Test HDL Link helps to establish: - Testbench Only in Software Simulator; - Testbench and behavioral blocks in Software Simulator; - Block-by-block migration. Migrate the HDL RTL code from the workstation Simulator to Vstation Emulator; - TIP co-modeling enhanced the performance and debug capabilities.

17 Compile the design for HW partitioning Partition a module in - Vstation region - Behave region Design Unit VHDL OM units Partioner - In-Circuit Emulation region - below a certain module Output: RTLC VMW Netlist Partition Database igen Compiled database used at runtime. Behave Shadow and Gate Netlist RTL Transactors RTLC vmwnetlist Design Database Integration Files Mixnet.info Gate Shadow Hierachy

18 Vstation with MCT testbench Vstation MCT Testbench Input Test Vectors Vstation output Comparison Mechanism - Multi-Channel Transport co-modeling enables SW testbench run HW verification at high speed. - Transactor portability for ASIC interfaces. - System level modeling and verification in C/C++, SystemC

19 Debugging Vstation with HDL Link - All signals are visible to user at any time. - User can set break-point on Behavioral signal, change signal without re-compile. - User can set trigger on Vstation signal to stop the emulation.

20 Vstation Emulation Speed v.s. Workstation Simulation Speed depends on: - ASIC/FPGA Design size - Vstation Driven Clock - Simulator on what kind of Workstation - The number of behavioral blocks still remained in HDL Link testbench. - The method used to hook Simulator onto Emulator

21 Design and co-verification Target - 3G Rel. 99 baseband processor. - 3G Rel. 5 for HSDPA baseband processor - 3G Rel. 6 for HSUPA baseband processor - LTE baseband processor - future wireless & comm. SoC processor

22 Summary Seamless CVE with application specific PSP provides a flexible DSP simulator solution to the HSPA baseband processor development that can cycle-accurately simulate the symbol level processing together with the Modelsim chip-level processing. HDL link can be considered to use in partitioning the HDL design modules onto Vstation for high speed emulation. System level verification methodologies can close the gap between the SW design and HW design, and between the sequential processing and parallel processing. HSPA verification methodologies introduced here applies to any large scale DSP, FPGA and ASIC integration system.

23 Reference [1] 3GPP TS , TS25.212, TS Technical Specification. 3rd Generation Partnership Project, Technical Specification Group Radio Access Network, FDD Release 5, Release 6. [2] HDL Link User s Guide. Mentor Graphics HDL Link Software, [3] WCDMA for UMTS, Radio Access for Third Generation Mobile Communications. By Harri Holma and Antti Toshala. Contact zhengli@alcatel-lucent.com zhengli@ieee.org

Concepts of HSUPA. Agilent Technologies. Concepts of HSUPA

Concepts of HSUPA. Agilent Technologies. Concepts of HSUPA Agilent Technologies Agenda What is HSUPA? Layer 1 Overview UE and Network HSUPA Additions: Layer 2 and 3 Overview HSUPA Throughput Page 2 What is HSUPA? Why important? Three terms for the same thing:

More information

NETWORK DIAGNOSTICS Testing HSDPA, HSUPA for 3G mobile apps

NETWORK DIAGNOSTICS Testing HSDPA, HSUPA for 3G mobile apps NETWORK DIAGNOSTICS Testing HSDPA, HSUPA for 3G mobile apps By Simon Binar Protocol Monitoring Division Tektronix Inc. The market for broadband cellular data services is rapidly evolving. From its deployment

More information

Infrastructure Test System

Infrastructure Test System Infrastructure Test System TM500 HSPA Test Mobile Data Sheet The most important thing we build is trust The industry standard test system for HSPA infrastructure development, test and demonstrations 3GPP

More information

Infrastructure Test System

Infrastructure Test System Infrastructure Test System TM500 HSPA Test Mobile The industry standard test system for HSPA infrastructure development, test and demonstrations Feature Highlights 3GPP Rel-9 DC-HSDPA compliant operation

More information

HSDPA/HSUPA for UMTS. High Speed Radio Access for Mobile Communications. Harri Holma and Antti Toskala JOHN WILEY & SONS, LTD.

HSDPA/HSUPA for UMTS. High Speed Radio Access for Mobile Communications. Harri Holma and Antti Toskala JOHN WILEY & SONS, LTD. HSDPA/HSUPA for UMTS High Speed Radio Access for Mobile Communications Edited by Harri Holma and Antti Toskala Both of Nokia Networks, Finland JOHN WILEY & SONS, LTD Preface Acknowledgements Abbreviations

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

HSPA Overview NCN-EG-07 Course Outline for HSDPA/HSUPA/HSPA

HSPA Overview NCN-EG-07 Course Outline for HSDPA/HSUPA/HSPA HSPA Overview NCN-EG-07 Course Outline for HSDPA/HSUPA/HSPA 1 Course Description: This program is specially designed for Telecom professionals to understand the HSPA Technology. WCDMA is the most popular

More information

INTRODUCTION TO LTE. ECE MOBILE COMMUNICATION Monday, 25 June 2018

INTRODUCTION TO LTE. ECE MOBILE COMMUNICATION Monday, 25 June 2018 INTRODUCTION TO LTE ECE 2526 - MOBILE COMMUNICATION Monday, 25 June 2018 1 WHAT IS LTE? 1. LTE stands for Long Term Evolution and it was started as a project in 2004 by the Third Generation Partnership

More information

4 Alternatives to limit the amount of data sent to a UE

4 Alternatives to limit the amount of data sent to a UE 3GPP TSG RAN WG1 #2 meeting 21 st 25 th of May 21 Busan, Korea Tdoc R1-1-462 Source : Nokia Title: HSDPA UE Capability Agenda Item : HSDPA 1 Introduction This paper analyses the terminal capabilities with

More information

Third generation WCDMA radio evolution

Third generation WCDMA radio evolution WIRELESS COMMUNICATIONS AND MOBILE COMPUTING Wirel. Commun. Mob. Comput. 2003; 3:987 992 (DOI: 10.1002/wcm.134) Third generation WCDMA radio evolution Harri Holma*,y and Antti Toskala Nokia Networks, IP

More information

The Effect of Code-Multiplexing on the High Speed Downlink Packet Access (HSDPA) in a WCDMA Network

The Effect of Code-Multiplexing on the High Speed Downlink Packet Access (HSDPA) in a WCDMA Network The Effect of Code-Multiplexing on the High Speed Downlink Packet Access (HSDPA) in a WCDMA Network Raymond Kwan, Peter H. J. Chong 2, Eeva Poutiainen, Mika Rinne Nokia Research Center, P.O. Box 47, FIN-45

More information

UbiNetics. WCDMA/HSDPA physical layer design. Jon Burrell

UbiNetics. WCDMA/HSDPA physical layer design. Jon Burrell UbiNetics WCDMA/HSDPA physical layer design Jon Burrell Content Slide 1 of 33 Importance of WCDMA Crash course in WCDMA WCDMA implementation Why HSDPA Crash course in HSDPA HSDPA implementation Terminal

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE Jamil Y. Khan 1, Pratik Das 2 School of Electrical Engineering and Computer Science, University of Newcastle, Callaghan, NSW 238,

More information

HSDPA Principles and configuration

HSDPA Principles and configuration Internal HSDPA Principles and configuration BSC6810V200R011 www.huawei.com HUAWEI TECHNOLOGIES CO., LTD. All rights reserved Main features RAN5.0 HSDPA Phase 1 RAN5.1 HSDPA Phase 2 RAN6 HSDPA Phase 3 RAN10

More information

Performance of Hybrid ARQ Techniques for WCDMA High Data Rates

Performance of Hybrid ARQ Techniques for WCDMA High Data Rates Performance of Hybrid ARQ Techniques for WCDMA High Data Rates Esa Malkamalu, Deepak Mathew, Seppo Hamalainen Nokia Research Center P.O. Box 47, FN-45 Nokia Group, Finland esa.malkamaki @nokia.com Abstract

More information

A New Soft Handover Mechanism using DCHs in 3GPP HSDPA Networks

A New Soft Handover Mechanism using DCHs in 3GPP HSDPA Networks 184 JOURNAL OF NETWORKS, VOL. 4, NO. 3, MAY 2009 A New Soft Handover Mechanism using DCHs in 3GPP HSDPA Networks TaeHoon Lee, SungHoon Seo, UiTaek Lee, and JooSeok Song Department of Computer Science,

More information

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA in Third-Generation Systems

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA in Third-Generation Systems 1 Introduction Harri Holma and Antti Toskala 1.1 WCDMA in Third-Generation Systems Analog cellular systems are commonly referred to as first-generation systems. The digital systems, such as Global System

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Wireless Communication

Wireless Communication Wireless Communication Hwajung Lee Key Reference: Prof. Jong-Moon Chung s Lecture Notes at Yonsei University Wireless Communications Bluetooth Wi-Fi Mobile Communications LTE LTE-Advanced Mobile Communications

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The MITRE Corporation Approved for public release. Distribution unlimited. Case #07-0782 Contract No.

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

PC302 Features. Description

PC302 Features. Description PC302 Features Implements a complete 3GPP Release 7 WCDMA Femto Access Point (FAP) SoC supporting 4 users Up to 21Mbps HSDPA Up to 5.7Mbps HSUPA Programmable architecture allows reconfiguration to network

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Mobile Network Evolution Part 2

Mobile Network Evolution Part 2 Mobile Network Evolution Part 2 From UMTS to LTE or How to Further Increase Network Capacity and QoS Andreas Mitschele-Thiel Advanced Mobile Communication Networks 1 Outline Evolution from Circuit Switching

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA technology and deployment status

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA technology and deployment status 1 Introduction Harri Holma and Antti Toskala 1.1 WCDMA technology and deployment status The first Third Generation Partnership Project (3GPP) Wideband Code Division Multiple Access (WCDMA) networks were

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems FPGA-Based Rapid Prototyping of Digital Signal Processing Systems Kevin Banovic, Mohammed A. S. Khalid, and Esam Abdel-Raheem Presented By Kevin Banovic July 29, 2005 To be presented at the 48 th Midwest

More information

Mobile Broadband Comparison. CDMA Development Group March 2008

Mobile Broadband Comparison. CDMA Development Group March 2008 Mobile Broadband Comparison CDMA Development Group March 2008 Assumptions and Notes for the Technology Comparison This document compares the performance of existing and future mobile communications systems

More information

SoC Verification Strategies for Embedded Systems Design

SoC Verification Strategies for Embedded Systems Design SOC Design Conference SoC Verification Strategies for Embedded Systems Design November 5-6, 2003/ Seoul Chong-Min Kyung, KAIST 1 Various Embedded Mobile Systems Data Processing Consumer Desktop PC DVC

More information

Post processing techniques to accelerate assertion development Ajay Sharma

Post processing techniques to accelerate assertion development Ajay Sharma Post processing techniques to accelerate assertion development Ajay Sharma 2014 Synopsys, Inc. All rights reserved. 1 Agenda Introduction to Assertions Traditional flow for using ABV in Simulations/Emulation/Prototyping

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

Chapter 10. PeaCE design flow overview

Chapter 10. PeaCE design flow overview Chapter 10. PeaCE design flow overview Author: Soonhoi Ha, Dohyung Kim, and Youngmin Yie This chapter shows the overview of the overall HW/SW codesign flow in PeaCE environment. The following chapters

More information

Big Picture. ~10 years between generations

Big Picture. ~10 years between generations Big Picture ~10 years between generations 7 Who is Who? Mobile Operators ITU Members ITU GSM, W-CDMA, UMTS IS-95), IS-41, IS- 2000, IS-835 Third Generation Patnership Project (3GPP) CWTS (China) Third

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

3GPP TS V ( )

3GPP TS V ( ) TS 34.121-2 V11.5.0 (2014-12) Technical Specification 3rd Generation Partnership Project; Technical Specification Group Radio Access Network; User Equipment (UE) conformance specification; Radio transmission

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

FPGAs: Instant Access

FPGAs: Instant Access FPGAs: Instant Access Clive"Max"Maxfield AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO % ELSEVIER Newnes is an imprint of Elsevier Newnes Contents

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

COPYRIGHTED MATERIAL. Introduction. Edited by Harri Holma and Antti Toskala. 1.1 WCDMA Early Phase

COPYRIGHTED MATERIAL. Introduction. Edited by Harri Holma and Antti Toskala. 1.1 WCDMA Early Phase 1 Introduction Harri Holma and Antti Toskala 1.1 WCDMA Early Phase The research work towards third generation (3G) mobile systems started in the early 1990s. The aim was to develop a radio system capable

More information

UMTS & New Technologies «Wireless data world»

UMTS & New Technologies «Wireless data world» EPFL Section Systèmes de Communication Cours Mobile Networks UMTS & New Technologies «Wireless data world» Alexandre LEHERICEY Radio Access Engineering 21/12/2004 mailto: alexandre.lehericey@orange.ch

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

WCDMA FOR UMTS. HSPA Evolution and LTE. )WILEY A John Wiley and Sons, Ltd., Publication. Fifth Edition. Edited by Harri Holma and Antti Toskala

WCDMA FOR UMTS. HSPA Evolution and LTE. )WILEY A John Wiley and Sons, Ltd., Publication. Fifth Edition. Edited by Harri Holma and Antti Toskala WCDMA FOR UMTS HSPA Evolution and LTE Fifth Edition Edited by Harri Holma and Antti Toskala Nokia Siemens Networks, Finland )WILEY A John Wiley and Sons, Ltd., Publication Contents Preface Acknowledgements

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

Wireless Communication

Wireless Communication Wireless Communication Hwajung Lee Key Reference: Prof. Jong-Moon Chung s Lecture Notes at Yonsei University Wireless Communications Bluetooth Wi-Fi Mobile Communications LTE LTE-Advanced Mobile Communications

More information

UNIFIED HARDWARE/SOFTWARE CO-VERIFICATION FRAMEWORK FOR LARGE SCALE SYSTEMS

UNIFIED HARDWARE/SOFTWARE CO-VERIFICATION FRAMEWORK FOR LARGE SCALE SYSTEMS UNIFIED HARDWARE/SOFTWARE CO-VERIFICATION FRAMEWORK FOR LARGE SCALE SYSTEMS NANA SUTISNA Contents 1 Introduction 6 1.1 Background.................................. 6 1.2 Research Objectives..............................

More information

ETSI TS V ( )

ETSI TS V ( ) TECHNICAL SPECIFICATION Universal Mobile Telecommunications System (UMTS); User Equipment (UE) conformance specification; Radio transmission and reception (FDD); Part 2: Implementation Conformance Statement

More information

Distributed Vision Processing in Smart Camera Networks

Distributed Vision Processing in Smart Camera Networks Distributed Vision Processing in Smart Camera Networks CVPR-07 Hamid Aghajan, Stanford University, USA François Berry, Univ. Blaise Pascal, France Horst Bischof, TU Graz, Austria Richard Kleihorst, NXP

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Product Description. HiLink E3131 HSPA+ USB Stick V100R001 HUAWEI TECHNOLOGIES CO., LTD. Issue 01. Date

Product Description. HiLink E3131 HSPA+ USB Stick V100R001 HUAWEI TECHNOLOGIES CO., LTD. Issue 01. Date HiLink E3131 HSPA+ USB Stick V100R001 Issue 01 Date 2012-1-17 HUAWEI TECHNOLOGIES CO., LTD. Huawei Technologies Co., Ltd. provides customers with comprehensive technical support and service. Please feel

More information

Performance of VoIP over HSDPA in mobility scenarios

Performance of VoIP over HSDPA in mobility scenarios Performance of VoIP over HSDPA in mobility scenarios Petteri Lundén Nokia Research Center P.O. Box 45, FI-45 Nokia Group, Finland Email: petteri.lunden@nokia.com Jussi Äijänen, Kari Aho, Tapani Ristaniemi

More information

Mobile Network Evolution Part 2

Mobile Network Evolution Part 2 Mobile Network Evolution Part 2 From UMTS to LTE or How to Further Increase Network Capacity and QoS Andreas Mitschele-Thiel Advanced Mobile Communication Networks 1 Outline Evolution from Circuit Switching

More information

One Box Tester for LTE-Advanced UE Development

One Box Tester for LTE-Advanced UE Development Product Introduction One Box Tester for LTE-Advanced UE Development Radio Communication Analyzer MT8821C Radio Communication Analyzer MT8821C The new MT8821C is an all-in-one tester designed for RF verification

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21 2. HW/SW Co-design Young W. Lim 2016-03-11 Thr Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 1 / 21 Outline 1 Software Engineering Young W. Lim 2. HW/SW Co-design 2016-03-11 Thr 2 / 21 Based on Software

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

WCDMA. Hemant K Rath. Research Scholar. Department of Electrical Engineering IIT-Bombay WCDMA Hemant K Rath, IIT-Bombay 1

WCDMA. Hemant K Rath. Research Scholar. Department of Electrical Engineering IIT-Bombay WCDMA Hemant K Rath, IIT-Bombay 1 WCDMA Hemant K Rath Research Scholar Department of Electrical Engineering IIT-Bombay hemantr@ee.iitb.ac.in WCDMA Hemant K Rath, IIT-Bombay 1 Outline Introduction Generations of Mobile Networks 3G Standards

More information

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design Catapult C Synthesis High Level Synthesis Webinar Stuart Clubb Technical Marketing Engineer April 2009 Agenda How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware

More information

ECE 699: Lecture 12. Introduction to High-Level Synthesis

ECE 699: Lecture 12. Introduction to High-Level Synthesis ECE 699: Lecture 12 Introduction to High-Level Synthesis Required Reading The ZYNQ Book Chapter 14: Spotlight on High-Level Synthesis Chapter 15: Vivado HLS: A Closer Look S. Neuendorffer and F. Martinez-Vallina,

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

Chip Design for Turbo Encoder Module for In-Vehicle System

Chip Design for Turbo Encoder Module for In-Vehicle System Chip Design for Turbo Encoder Module for In-Vehicle System Majeed Nader Email: majeed@wayneedu Yunrui Li Email: yunruili@wayneedu John Liu Email: johnliu@wayneedu Abstract This paper studies design and

More information

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications Abstract: Rapid prototyping has become an important means to verify the performance and feasibility of algorithms and

More information

August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766

August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766 August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766 Kevin Traylor Freescale Fellow Reg. U.S. Pat. & Tm. Off. BeeKit, BeeStack, CoreNet, the Energy Efficient Solutions

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

One Box Tester for LTE-Advanced UE Development

One Box Tester for LTE-Advanced UE Development Product Introduction One Box Tester for LTE-Advanced UE Development Radio Communication Analyzer MT8821C New Features Version 30.40 released in October 2016 adds the following key items. No limits on DL

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

ETSI TR V7.7.0 ( ) Technical Report

ETSI TR V7.7.0 ( ) Technical Report TR 125 993 V7.7.0 (2008-07) Technical Report Universal Mobile Telecommunications System (UMTS); Typical examples of Radio Access Bearers (RABs) and Radio Bearers (RBs) supported by Universal Terrestrial

More information