ASIC/Merchant Silicon Chip-Based Flash Controllers

Size: px
Start display at page:

Download "ASIC/Merchant Silicon Chip-Based Flash Controllers"

Transcription

1 ASIC/erchant Silicon Chip-Based Flash Controllers Jeff Yang Silicon otion Flash emory Summit 27 Santa Clara, CA

2 Basic architecture TC region SC region Write channel Read channel Buffer for DATA CP Buffer for others Host-Interface DRA Flash emory Summit 27 Santa Clara, CA 2

3 Write channel Application combinations on TC/QC TC region SC region Read channel. SC caching. Data always write into SC. A Fixed portion of SC regard as a cache buffer. Performance boost on SC caching. Background GC to TC block. 2. TC direct. Only a very small portion for system usage and small random write data. A stable sustained write performance. 3. Dynamic SC. ess than /3 capacity threshold, using SC. aximizing performance boosting period. Background GC to TC block. Buffer for DATA Host-Interface CP DRA Buffer for others. Full size DRA For host data write caching. Full lookup table. 2. Non-DRA Extremely low cost. Optimize for user experience. ore system info access from SC blocks. 3. Small DRA. Full lookup table on external buffer No host data buffer. Flash emory Summit 26 Santa Clara, CA 3

4 Write channel Different TC reliability issue TC region SC region Read channel. 3D. One-pass program, two-pass program, multi-pass program. 2. Program failure protection flow. Program failure range. Read back data from flash cache buffer. DRA back up. SC back up, SRA backup. 3. One W open and ulti-w short. Need raid or read back check after program. 4. TC/SC dynamic changing usage. TC endurance calculation issue. 5. Internal copy back for SC to TC Internal read without ECC correction Buffer for DATA CP Buffer for others Host-Interface DRA Flash emory Summit 27 Santa Clara, CA 4

5 3D Challenge: Support all combinations and cost efficiency External SC usage buffer One-pass SC caching Full DRA Two-pass ulti-pass TC direct SC/TC dynamic Non-DRA Partial DRA Flash emory Summit 27 Copyright Silicon otion, Inc., 22. All Rights Reserved. 5

6 SI Product comparison Full DRA Partial DRA None DRA (HB) None DRA Full Capacity Seq Perf 5 25 Random Perf DRA High Perf GC efficiency ow W/A ow complexity Partial DRA GC efficiency Capacity limited by 2P address bit (8TB) None DRA Perf still good for CD None DRA (HB) Random perf W/A GC efficiency Cost FW complexity Capacity limitation (2TB) DRA bandwidth limitation Power consumption ed Random Perf (DRA 2P buffer) ed W/A High W/A ow Random Perf (SRA 2P buffer) Program Failure High capacity support Same as None DRA ore complex than None DRA

7 SI Product comparison Full DRA Partial DRA None DRA (HB) None DRA Full Capacity Seq Perf 5 25 Random Perf DRA High Perf GC efficiency ow W/A ow complexity Partial DRA GC efficiency Capacity limited by 2P address bit (8TB) None DRA Perf still good for CD None DRA (HB) Random perf W/A GC efficiency Cost FW complexity Capacity limitation (2TB) DRA bandwidth limitation Power consumption ed Random Perf (DRA 2P buffer) ed W/A High W/A ow Random Perf (SRA 2P buffer) Program Failure High capacity support Same as None DRA ore complex than None DRA

8 SI Product comparison Full DRA Partial DRA None DRA (HB) None DRA Full Capacity Seq Perf 5 25 Random Perf DRA High Perf GC efficiency ow W/A ow complexity Partial DRA GC efficiency Capacity limited by 2P address bit (8TB) None DRA Perf still good for CD None DRA (HB) Random perf W/A GC efficiency Cost FW complexity Capacity limitation (2TB) DRA bandwidth limitation Power consumption ed Random Perf (DRA 2P buffer) ed W/A High W/A ow Random Perf (SRA 2P buffer) Program Failure High capacity support Same as None DRA ore complex than None DRA

9 SI Product comparison Full DRA Partial DRA None DRA (HB) None DRA Full Capacity Seq Perf 5 25 Random Perf DRA High Perf GC efficiency ow W/A ow complexity Partial DRA GC efficiency Capacity limited by 2P address bit (8TB) None DRA Perf still good for CD None DRA (HB) Random perf W/A GC efficiency Cost FW complexity Capacity limitation (2TB) DRA bandwidth limitation Power consumption ed Random Perf (DRA 2P buffer) ed W/A High W/A ow Random Perf (SRA 2P buffer) Program Failure High capacity support Same as None DRA ore complex than None DRA

10 Traditional Error recovery flow Flash emory Summit 23 Santa Clara, CA Flash emory Summit 27 Santa Clara, CA

11 Soft-information interface SEQ DSP SEQ DSP SEQ7 DSP7 2nd read Normal read 3rd read Write channel x= x= Decoder y=7 y=6 y=5 y=4 y=3 y=2 y= y= RAW data Vth Buffer for DATA CP Sub-system Buffer for others Encode Host-Interface DRA In order to provide better decoder s correction capability, using the soft-info to get more reliability bits. NAND interface support. Traditional read/retry interface. Direct soft-info interface. SI Confidential

12 DSP engine s buffer size Buffer for Sign R apping Buffer 2 for Soft-bit Buffer 2 for Soft-bit Compression The buffer size is the capability to contain the number of chunks soft-bit. Access addition soft-info from NAND may need additional read busy time. Read the soft-bit under the same busy time will have higher efficiency, but buffer size requirement is huge. 2

13 Soft-decoding throughput limitation One Transfer time = 2.5ns/B x 8432B = 46us (4Ts) Assume DSP-buffer size 6KB. 9 tr time + 2 transfer-time = 9x(us) + 2 x (46us) = 452us Throughput = 64KB/452us = 44B/sec Assume DSP-buffer size 64KB. 3tR time + 2 transfer-time = 3x(us) + 8 x(46us) = 668us. Throughput = 64KB/668us = 95B/sec In Client SSD applications, Soft-decoding will regard as the ERROR-Recovery flow. We will not ask the throughput under recovery mode. But we will take care the recovery mode trigger rate. 3

14 Block 2D BOCK W W W 2 W 3 W 4 W 5 W Failure range from 2D to 3D. Program order Damage range of Program fail Damage range of Word-line open Damage range of two Word-line short Block 3D BOCK W W N N Damage range of Program fail / Wordline Open Damage range of Word-line short W W Program order 4

15 3D NAND Challenges Each 3D generation will increase the layer number by 3~5%. High-aspect ratio channel hole etch. Cell current reduction is seriously concerned. Reduce the read-voltage to improve the read-count (degradation the read-disturbance) make cell current worse. Different cell characteristics for each W. (program-speed, cell-tocell interference, retention) Poor retention characteristics. Not easy to screen out some defects. Especially on bit-column related defect. Flash emory Summit 27 Santa Clara, CA Ref: Evolution of NAND flash memory: from 2D to 3D 27 IW 5

16 DSP algorithm for the Vth-tracking + read Harddecoding Correctable? Yes No +3 read Soft-decoding -sign, -soft Correctable? Yes No Get a correctable code word. Gather the tracking result st 2 nd 3 rd Soft-decoding +5 read -sign, 2-soft Correctable? Yes No Smart prediction the right center sensing point st 2 nd 3 rd 4 th 5 th Soft-decoding +7 read -sign, 2-soft Correctable? Yes No No Over-hill detection will prevent the Vth-tracking into wrong direction. st 6 th 2 nd 3 rd 7 th 4 th 5 th Keep in +7 read Adjust the decoding parameter ncorrectable && ~max-loop Yes Read fail (go to next error recovery stage) The parameter include:. Sensing step size. 2. Decoder internal coef. 3. Dynamic tuning the R 4. 6

17 ECC design loop related to NAND characteristics. We already have 7 th generation DPC decoder. Keep improving the DPC performance. For higher throughput ~8GB/sec, we may go back to step. After 28nm process, the design iteration depth will from code-construction to trial APR. EX: Find the Routing congestion issue in step 4, it may need to solve from step. NAND error behavior. Soft/hard. NAND Page size and reserved spare area. ECC chunk size Data transfer efficiency(data-path overhead) APR utilization from different process node. Firmware enabling error recovery Code truction SOC integration and Firmware control flow Encoding and decoding algorithm Hardware architecture performance trade-off Encoder scheme. Configurable and power consumption. Decoder algorithm prove and evaluate with NAND model. FPGA emulation for DPC decoding algorithm. Throughput requirement trade-off. Power consumption trade-off. Correction capability trade-off. Complexity trade-off. 7

18 Before the RAID protect flow.. DRA/ DRA-less/ Small DRA Capacity (RAID overhead) Binary/arbitrary SC-first/ TC-direct write/ Dynamic SC Program failure DRA-backup/ Flash-cache/ RAID recover/ One-pass / ulti-pass/ Pair-page mapping All the issues combine together! W open Failure range W to W short Failure range Recovery latency BT THE SAE CONCEPT IS.

19 Don t put eggs in the same basket. Block 3D BOCK W W N Damage range of Program fail / Wordline Open Damage range of Word-line short Put the pages in the same failure range into different RAID-Group RAID-Group SB CSB RAID-Group 2 SB CSB RAID-Group X SB CSB N SB SB SB W Program order Different flash will have different failure range. Different application will have different RAID encoding flow. Reserve the maximum flexibility for all controller to support all kinds of 2D/3D NAND. If you don t want to use RAID, What alternative you still have? Read-back check after program. 9

20 atlat demo platform. atlab interfa ce CD translati on SATA/P CIE controll er atlab base program. Gather the data and process by matlab. ore easily to show the figure. Provide high level controller interface. NAND access CD packet Hookup the atlab API to SB/PCIE driver. Provide several different NAND access functions to provide atlab high level control interface. SI controller specification function demo. (Adaptive chargine/vth-trakcing/dpc soft-decoding). Provide a function call. Firmware implement the Vendor CD to serve all kinds of NAND access. Complex function implement by ARC/AR firmware to provide specific function demo. 2

21 ECC tool update with all NAND. SATA 2258/2259 PCIE 226/2262/2263/227/2264 Color Vth-distribution. All W Vth-distribution. Error recovery golden flow. Soft-info fetch correctness analysis. Automation RTBB analysis. (OE special request support) ECC decoding/encoding, randomizer model. ISPP tuning for verification. 2

22 Thanks. Q&A 22

ECC Approach for Correcting Errors Not Handled by RAID Recovery

ECC Approach for Correcting Errors Not Handled by RAID Recovery ECC Approach for Correcting Errors Not Handled by RAID Recovery Jeff Yang Siliconmotion Flash Memory Summit 27 Note: All the material are the concept proof and simulation.s It is not the real Siliconmotion

More information

Error Recovery Flows in NAND Flash SSDs

Error Recovery Flows in NAND Flash SSDs Error Recovery Flows in NAND Flash SSDs Viet-Dzung Nguyen Marvell Semiconductor, Inc. Flash Memory Summit 2018 Santa Clara, CA 1 Outline Data Reliability in NAND Flash Memories Concept of an Error Recovery

More information

High-Efficiency SSD for Reliable Data Storage Systems

High-Efficiency SSD for Reliable Data Storage Systems High-Efficiency SSD for Reliable Data Storage Systems -Improving endurance and data reliability of next generation flash in embedded applications Jeff Yang Principle engineer Silicon Motion, Inc. jeff.yang@siliconmotion.com

More information

NAND Flash Status Prediction by Machine Learning

NAND Flash Status Prediction by Machine Learning NAND Flash Status Prediction by Machine Learning Cloud Zeng LiteOn/Storage/NVM Lab Flash Memory Summit 2016 Santa Clara, CA 1 The Evolution Of Error Handle TRIAL & ERROR ACROSS THE MAZE??? BREAK DOWN THE

More information

Self-Adaptive NAND Flash DSP

Self-Adaptive NAND Flash DSP Self-Adaptive NAND Flash DSP Wei Xu 2018/8/9 Outline NAND Flash Data Error Recovery Challenges of NAND Flash Data Integrity A Self-Adaptive DSP Technology to Improve NAND Flash Memory Data Integrity 6

More information

Could We Make SSDs Self-Healing?

Could We Make SSDs Self-Healing? Could We Make SSDs Self-Healing? Tong Zhang Electrical, Computer and Systems Engineering Department Rensselaer Polytechnic Institute Google/Bing: tong rpi Santa Clara, CA 1 Introduction and Motivation

More information

Controller Concepts for 1y/1z nm and 3D NAND Flash

Controller Concepts for 1y/1z nm and 3D NAND Flash Controller Concepts for 1y/1z nm and 3D NAND Flash Erich F. Haratsch Santa Clara, CA 1 NAND Evolution Planar NAND scaling is coming to an end in the sub- 20nm process 15nm and 16nm NAND are the latest

More information

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization Tingjun Xie Staff Engineer VIA Technologies, Inc. TingjunXie@viatech.com Santa Clara, CA 1 Outline Significance of flash

More information

The Evolving NAND Flash Business Model for SSD

The Evolving NAND Flash Business Model for SSD The Evolving NAND Flash Business Model for SSD Steffen Hellmold VP Business Development SandForce, Inc. Santa Clara, CA 1 Agenda SSD Enabling Price Points are key! Reliability Need adaptive ECC Reliability

More information

Replacing the FTL with Cooperative Flash Management

Replacing the FTL with Cooperative Flash Management Replacing the FTL with Cooperative Flash Management Mike Jadon Radian Memory Systems www.radianmemory.com Flash Memory Summit 2015 Santa Clara, CA 1 Data Center Primary Storage WORM General Purpose RDBMS

More information

Raising QLC Reliability in All-Flash Arrays

Raising QLC Reliability in All-Flash Arrays Raising QLC Reliability in All-Flash Arrays Jeff Yang Principal Engineer Storage Research Dept. Silicon Motion, Inc. Santa Clara, CA 1 QLC Characteristics (Estimation) QLC Endurance: 1~3K P/E.(limited

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Yu Cai, Yixin Luo, Saugata Ghose, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *Seagate Technology

More information

3D NAND - Data Recovery and Erasure Verification

3D NAND - Data Recovery and Erasure Verification 3D NAND - Data Recovery and Erasure Verification Robin England Hardware Research & Development Team Lead Santa Clara, CA The Causes of SSD Data Loss What can go wrong? Logical Damage Data accidentally

More information

NAND Controller Reliability Challenges

NAND Controller Reliability Challenges NAND Controller Reliability Challenges Hanan Weingarten February 27, 28 28 Toshiba Memory America, Inc. Agenda Introduction to NAND and 3D technology Reliability challenges Summary 28 Toshiba Memory America,

More information

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce The Evolving NAND Flash Business Model for SSD Steffen Hellmold VP BD, SandForce Solid State Storage - Vision Solid State Storage in future Enterprise Compute Anything performance sensitive goes solid

More information

Five Key Steps to High-Speed NAND Flash Performance and Reliability

Five Key Steps to High-Speed NAND Flash Performance and Reliability Five Key Steps to High-Speed Flash Performance and Reliability Presenter Bob Pierce Flash Memory Summit 2010 Santa Clara, CA 1 NVM Performance Trend ONFi 2 PCM Toggle ONFi 2 DDR SLC Toggle Performance

More information

Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014

Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014 Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014 2 3 Cloud workloads are different! Examples: Read-mostly, write-once per day Sequential write streams for object stores Synchronous

More information

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Xuebin Zhang, Jiangpeng Li, Hao Wang, Kai Zhao and Tong Zhang xuebinzhang.rpi@gmail.com ECSE Department,

More information

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash Hanan Weingarten, CTO, DensBits Technologies August 21, 2012 1 Outline Requirements 1xnm/2xnm TLC NAND Flash Reliability Challenges

More information

Designing Enterprise SSDs with Low Cost Media

Designing Enterprise SSDs with Low Cost Media Designing Enterprise SSDs with Low Cost Media Jeremy Werner Director of Marketing SandForce Flash Memory Summit August 2011 Santa Clara, CA 1 Everyone Knows Flash is migrating: To smaller nodes 2-bit and

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

Holistic Flash Management for Next Generation All-Flash Arrays

Holistic Flash Management for Next Generation All-Flash Arrays Holistic Flash Management for Next Generation All-Flash Arrays Roman Pletka, Nikolas Ioannou, Ioannis Koltsidas, Nikolaos Papandreou, Thomas Parnell, Haris Pozidis, Sasa Tomic IBM Research Zurich Aaron

More information

Hardware NVMe implementation on cache and storage systems

Hardware NVMe implementation on cache and storage systems Hardware NVMe implementation on cache and storage systems Jerome Gaysse, IP-Maker Santa Clara, CA 1 Agenda Hardware architecture NVMe for storage NVMe for cache/application accelerator NVMe for new NVM

More information

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd.

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd. Transitioning from e-mmc to UFS: Controller Design Kevin Liu ASolid Technology Co., Ltd. Flash Storage Summits 2 Agenda emmc vs. UFS Flash Trend & Challenges Key Requirements for Embedded Controller Design

More information

A Self Learning Algorithm for NAND Flash Controllers

A Self Learning Algorithm for NAND Flash Controllers A Self Learning Algorithm for NAND Flash Controllers Hao Zhi, Lee Firmware Manager Core Storage Electronics Corp./Phison Electronics Corp. haozhi_lee@phison.com Santa Clara, CA 1 Outline Basic FW Architecture

More information

Developing Low Latency NVMe Systems for HyperscaleData Centers. Prepared by Engling Yeo Santa Clara, CA Date: 08/04/2017

Developing Low Latency NVMe Systems for HyperscaleData Centers. Prepared by Engling Yeo Santa Clara, CA Date: 08/04/2017 Developing Low Latency NVMe Systems for HyperscaleData Centers Prepared by Engling Yeo Santa Clara, CA 95054 Date: 08/04/2017 Quality of Service IOPS, Throughput, Latency Short predictable read latencies

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Qiao Li, Liang Shi, Chun Jason Xue Qingfeng Zhuge, and Edwin H.-M. Sha College of Computer Science, Chongqing University

More information

NAND Flash Basics & Error Characteristics

NAND Flash Basics & Error Characteristics NAND Flash Basics & Error Characteristics Why Do We Need Smart Controllers? Thomas Parnell, Roman Pletka IBM Research - Zurich Santa Clara, CA 1 Agenda Part I. NAND Flash Basics Device Architecture (2D

More information

Design Considerations for Using Flash Memory for Caching

Design Considerations for Using Flash Memory for Caching Design Considerations for Using Flash Memory for Caching Edi Shmueli, IBM XIV Storage Systems edi@il.ibm.com Santa Clara, CA August 2010 1 Solid-State Storage In a few decades solid-state storage will

More information

Designing Enterprise Controllers with QLC 3D NAND

Designing Enterprise Controllers with QLC 3D NAND Designing Enterprise Controllers with QLC 3D NAND Roman Pletka, Radu Stoica, Nikolas Ioannou, Sasa Tomic, Nikolaos Papandreou, Haralampos Pozidis IBM Research Zurich Research Laboratory Santa Clara, CA

More information

PCIe Storage Beyond SSDs

PCIe Storage Beyond SSDs PCIe Storage Beyond SSDs Fabian Trumper NVM Solutions Group PMC-Sierra Santa Clara, CA 1 Classic Memory / Storage Hierarchy FAST, VOLATILE CPU Cache DRAM Performance Gap Performance Tier (SSDs) SLOW, NON-VOLATILE

More information

High-Speed NAND Flash

High-Speed NAND Flash High-Speed NAND Flash Design Considerations to Maximize Performance Presented by: Robert Pierce Sr. Director, NAND Flash Denali Software, Inc. History of NAND Bandwidth Trend MB/s 20 60 80 100 200 The

More information

NVMe : Redefining the Hardware/Software Architecture

NVMe : Redefining the Hardware/Software Architecture NVMe : Redefining the Hardware/Software Architecture Jérôme Gaysse, IP-Maker Santa Clara, CA 1 NVMe Protocol How to implement the NVMe protocol? SW, HW/SW or HW? 2- NVMe command ready CPU 1-Host driver

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1 Many use

More information

SSDs Driving Greater Efficiency in Data Centers

SSDs Driving Greater Efficiency in Data Centers SSDs Driving Greater Efficiency in Data Centers - Tutorial - June 23 rd, 2010 Tony Kim Samsung Semiconductor Inc. Table of Contents Part I: IT Industry Trend & SSD Market Outlook SSD Introduction & Application

More information

Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs

Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs Open-Channel SSDs Offer the Flexibility Required by Hyperscale Infrastructure Matias Bjørling CNEX Labs 1 Public and Private Cloud Providers 2 Workloads and Applications Multi-Tenancy Databases Instance

More information

3SE4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3SE4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3SE4 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents LIST OF FIGURES... 6 1. PRODUCT OVERVIEW... 7 1.1 INTRODUCTION

More information

Datasheet. Embedded. Storage Solutions. Embedded SATA EDOM SATAIII. SDM0FII-V Series - 1 -

Datasheet. Embedded. Storage Solutions. Embedded SATA EDOM SATAIII. SDM0FII-V Series - 1 - Embedded Storage Solutions Embedded SATA EDOM SATAIII SDM0FII-V Series - 1 - Features: Compliance with Serial ATA Revision 3.1 specifications SATA3 (6Gb/s) interface Backward compatible with SATA1 (1.5Gb/s)

More information

Introducing and Validating SNIA SSS Performance Test Suite Esther Spanjer SMART Modular

Introducing and Validating SNIA SSS Performance Test Suite Esther Spanjer SMART Modular Introducing and Validating SNIA SSS Performance Test Suite Esther Spanjer SMART Modular Abstract SSS Performance Benchmarking Learning Objectives Get a good understanding of the various parameters that

More information

UFS 3.0 Controller Design Considerations

UFS 3.0 Controller Design Considerations UFS 3.0 Controller Design Considerations JEDEC Mobile & IOT Forum Copyright 2018 Phison Electronics Corp. Keith Tsai Mobile Storage Evolution emmc Latest widely adopted standard before UFS 2.x Limited

More information

MS800 Series. msata Solid State Drive Datasheet. Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH

MS800 Series. msata Solid State Drive Datasheet. Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH MS800 Series msata Solid State Drive Datasheet Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH Form factor: msata Interface standard: Serial ATA Revision 3.0, 6Gbps Performance:

More information

Using MLC Flash to Reduce System Cost in Industrial Applications

Using MLC Flash to Reduce System Cost in Industrial Applications Using MLC Flash to Reduce System Cost in Industrial Applications Chris Budd SMART High Reliability Solutions Santa Clara, CA 1 Introduction Component selection: cost versus quality Use same component to

More information

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles How to Extend 2D-TLC Endurance to 3,000 P/E Cycles Federico M. Benelli CTO, NandExt Santa Clara, CA 1 Outline TLC Market TLC challenges TLC 10 Technology Platform: Best In Between (BIB) Page-based CLAP-LDPC

More information

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 AGENDA Section 1: Flash Reliability Section 2: Components to Improve Flash Reliability Section 3:

More information

Intelligent Hybrid Flash Management

Intelligent Hybrid Flash Management Intelligent Hybrid Flash Management Jérôme Gaysse Senior Technology&Market Analyst jerome.gaysse@silinnov-consulting.com Flash Memory Summit 2018 Santa Clara, CA 1 Research context Analysis of system &

More information

Accelerating Ceph with Flash and High Speed Networks

Accelerating Ceph with Flash and High Speed Networks Accelerating Ceph with Flash and High Speed Networks Dror Goldenberg VP Software Architecture Santa Clara, CA 1 The New Open Cloud Era Compute Software Defined Network Object, Block Software Defined Storage

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Speeding Up Cloud/Server Applications Using Flash Memory

Speeding Up Cloud/Server Applications Using Flash Memory Speeding Up Cloud/Server Applications Using Flash Memory Sudipta Sengupta and Jin Li Microsoft Research, Redmond, WA, USA Contains work that is joint with Biplob Debnath (Univ. of Minnesota) Flash Memory

More information

Highly Scalable, Non-RDMA NVMe Fabric. Bob Hansen,, VP System Architecture

Highly Scalable, Non-RDMA NVMe Fabric. Bob Hansen,, VP System Architecture A Cost Effective,, High g Performance,, Highly Scalable, Non-RDMA NVMe Fabric Bob Hansen,, VP System Architecture bob@apeirondata.com Storage Developers Conference, September 2015 Agenda 3 rd Platform

More information

Can Embedded Applications Utilize the Latest Flash Storage Technologies?

Can Embedded Applications Utilize the Latest Flash Storage Technologies? Can Embedded Applications Utilize the Latest Flash Storage Technologies? Grady Lambert Sr. Director, Embedded Engineering Swissbit Santa Clara, CA 1 Agenda Storage Tech Headlines! Embedded Applications

More information

QLC Challenges. QLC SSD s Require Deep FTL Tuning Karl Schuh Micron. Flash Memory Summit 2018 Santa Clara, CA 1

QLC Challenges. QLC SSD s Require Deep FTL Tuning Karl Schuh Micron. Flash Memory Summit 2018 Santa Clara, CA 1 QLC Challenges QLC SSD s Require Deep FTL Tuning Karl Schuh Micron Santa Clara, CA 1 The Wonders of QLC TLC QLC Cost Capacity Performance Error Rate depends upon compensation for transaction history Endurance

More information

Datasheet. Embedded. Storage Solutions. Embedded SATA EDOM SATAIII. SDM0F-V Series. CDXXXXGRT-XX07XXXX with Shell - 1 -

Datasheet. Embedded. Storage Solutions. Embedded SATA EDOM SATAIII. SDM0F-V Series. CDXXXXGRT-XX07XXXX with Shell - 1 - Embedded Storage Solutions Embedded SATA EDOM SATAIII SDM0F-V Series CDXXXXGRT-XX07XXXX with Shell - 1 - Features: Compliance with Serial ATA Revision 3.1 specifications SATA3 (6Gb/s) interface Backward

More information

An Introduction. (for Military Storage Application) Redefining Flash Storage

An Introduction. (for Military Storage Application) Redefining Flash Storage An Introduction (for Military Storage Application) Redefining Flash Storage August 2014 The Opportunity One of very few independent SSD controller chip companies 6+ years of R&D and $30M invested to create

More information

3ME4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3ME4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3ME4 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents LIST OF FIGURES... 6 1. PRODUCT OVERVIEW... 7 1.1 INTRODUCTION

More information

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002 Flash Memory 2D NAND vs. 3D NAND White Paper F-WP002 Corporate Headquarters: 39870 Eureka Dr., Newark, CA 94560, USA Tel: (510) 623-1231 Fax: (510) 623-1434 E-mail: info@smartm.com Customer Service: Tel:

More information

SQL Server 2008 at the Speed of Light

SQL Server 2008 at the Speed of Light SQL Server 2008 at the Speed of Light Presented by: Sumeet Bansal, Fusion-io Principal Solutions Architect Silicon Valley SQL Server User Group October 20, 2009 Mark Ginnebaugh, User Group Leader www.bayareasql.org

More information

An FPGA Based Enterprise SSD Reference Design. Amit Saxena, VP, Engineering. The IP enabled solutions provider

An FPGA Based Enterprise SSD Reference Design. Amit Saxena, VP, Engineering. The IP enabled solutions provider An FPGA Based Enterprise SSD Reference Design Amit Saxena, VP, Engineering The IP enabled solutions provider AGENDA FPGA Based Enterprise SSDC 8/14/2015 2015 Copyright Mobiveil Inc. 2 FPGA Based NVMe Enterprise

More information

NVMe SSD s. NVMe is displacing SATA in applications which require performance. NVMe has excellent programing model for host software

NVMe SSD s. NVMe is displacing SATA in applications which require performance. NVMe has excellent programing model for host software NVMe SSD s NVMe is displacing SATA in applications which require performance NVMe has excellent programing model for host software Latency is becoming the key driving force for system performance, although

More information

Performance Assessment of an All-RRAM Solid State Drive Through a Cloud-Based Simulation Framework

Performance Assessment of an All-RRAM Solid State Drive Through a Cloud-Based Simulation Framework Performance Assessment of an All-RRAM Solid State Drive Through a Cloud-Based Simulation Framework Lorenzo Zuolo* Michele Cirella, Cristian Zambelli, Rino Micheloni*, and Piero Olivo Lorenzo Zuolo, Michele

More information

VSSIM: Virtual Machine based SSD Simulator

VSSIM: Virtual Machine based SSD Simulator 29 th IEEE Conference on Mass Storage Systems and Technologies (MSST) Long Beach, California, USA, May 6~10, 2013 VSSIM: Virtual Machine based SSD Simulator Jinsoo Yoo, Youjip Won, Joongwoo Hwang, Sooyong

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

D E N A L I S T O R A G E I N T E R F A C E. Laura Caulfield Senior Software Engineer. Arie van der Hoeven Principal Program Manager

D E N A L I S T O R A G E I N T E R F A C E. Laura Caulfield Senior Software Engineer. Arie van der Hoeven Principal Program Manager 1 T HE D E N A L I N E X T - G E N E R A T I O N H I G H - D E N S I T Y S T O R A G E I N T E R F A C E Laura Caulfield Senior Software Engineer Arie van der Hoeven Principal Program Manager Outline Technology

More information

Advantech. AQS-I42N I Series. Semi-Industrial Temperature. Datasheet. SATA III 6Gb/s M.2 SSD Semi-Industrial Temp AQS-I42N I series

Advantech. AQS-I42N I Series. Semi-Industrial Temperature. Datasheet. SATA III 6Gb/s M.2 SSD Semi-Industrial Temp AQS-I42N I series Advantech AQS-I42N I Series erature Datasheet Rev. 2.0 2015-09-13 1 AQS-I42N I Series Features SATA III 6Gb/s M.2 SSD I Series Offers industrial level M.2 SSD that sustains and extends system lifecycle

More information

pblk the OCSSD FTL Linux FAST Summit 18 Javier González Copyright 2018 CNEX Labs

pblk the OCSSD FTL Linux FAST Summit 18 Javier González Copyright 2018 CNEX Labs pblk the OCSSD FTL Linux FAST Summit 18 Javier González Read Latency Read Latency with 0% Writes Random Read 4K Percentiles 2 Read Latency Read Latency with 20% Writes Random Read 4K + Random Write 4K

More information

Authenticated Storage Using Small Trusted Hardware Hsin-Jung Yang, Victor Costan, Nickolai Zeldovich, and Srini Devadas

Authenticated Storage Using Small Trusted Hardware Hsin-Jung Yang, Victor Costan, Nickolai Zeldovich, and Srini Devadas Authenticated Storage Using Small Trusted Hardware Hsin-Jung Yang, Victor Costan, Nickolai Zeldovich, and Srini Devadas Massachusetts Institute of Technology November 8th, CCSW 2013 Cloud Storage Model

More information

SSD Update Samsung Memory. August 2016 Samsung Memory NAND Marketing

SSD Update Samsung Memory. August 2016 Samsung Memory NAND Marketing SSD Update Samsung Memory August 2016 Samsung Memory NAND Marketing Contents 1. Market Overview 2. SSD Product Roadmap 3. New SSD Features 2 Contents 1. Market Overview 2. SSD Product Roadmap 3. New SSD

More information

SSD (Solid State Disk)

SSD (Solid State Disk) SSD (Solid State Disk) http://en.wikipedia.org/wiki/solid-state_drive SSD (Solid State Disk) drives Most SSD drives gives very good performance 4x ~ 100x No noise, low weight, power and heat generation

More information

Caches. See P&H 5.1, 5.2 (except writes) Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Caches. See P&H 5.1, 5.2 (except writes) Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University s See P&.,. (except writes) akim Weatherspoon CS, Spring Computer Science Cornell University What will you do over Spring Break? A) Relax B) ead home C) ead to a warm destination D) Stay in (frigid) Ithaca

More information

Using MRAM to Create Intelligent SSDs

Using MRAM to Create Intelligent SSDs Using MRAM to Create Intelligent SSDs Jérôme Gaysse Senior Technology&Market Analyst jerome.gaysse@silinnov-consulting.com Santa Clara, CA 1 Study context Analysis of system & application Performance modeling

More information

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Chihiro Matsui, Tomoaki Yamada, Yusuke Sugiyama, Yusuke Yamaga, and Ken Takeuchi Chuo University, Japan Santa Clara, CA 1

More information

Caches. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See P&H 5.1, 5.2 (except writes)

Caches. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See P&H 5.1, 5.2 (except writes) Caches akim Weatherspoon CS 341, Spring 212 Computer Science Cornell University See P& 5.1, 5.2 (except writes) ctrl ctrl ctrl inst imm B A B D D Big Picture: emory emory: big & slow vs Caches: small &

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

NAND Interleaving & Performance

NAND Interleaving & Performance NAND Interleaving & Performance What You Need to Know Presented by: Keith Garvin Product Architect, Datalight August 2008 1 Overview What is interleaving, why do it? Bus Level Interleaving Interleaving

More information

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Cristian Zambelli, Lorenzo Zuolo*, Piero Olivo, Luca Crippa*, Alessia Marelli * and Rino Micheloni* Università degli Studi di Ferrara,

More information

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO Programming Matters MLC NAND Reliability and Best Practices for Data Retention Data I/O Corporation Anthony Ambrose President & CEO Flash Memory Summit 2013 Santa Clara, CA 1 Executive Summary As Process

More information

A New Erasure Pointer Generation Scheme for Storage Class Memory

A New Erasure Pointer Generation Scheme for Storage Class Memory A New Erasure Pointer Generation Scheme for Storage Class Memory Western Digital Mai Ghaly, Chandan Mishra, Majid Nemati, Aldo Cometi Santa Clara, CA August 2018 1 Topics Erasure Soft Erasure Concept for

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

Caches. See P&H 5.1, 5.2 (except writes) Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University

Caches. See P&H 5.1, 5.2 (except writes) Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University s See P&.,. (except writes) akim Weatherspoon CS, Spring Computer Science Cornell University What will you do over Spring Break? A) Relax B) ead home C) ead to a warm destination D) Stay in (frigid) Ithaca

More information

How does a Client SSD Controller Fit the Bill in Hyperscale Applications?

How does a Client SSD Controller Fit the Bill in Hyperscale Applications? How does a Client SSD Controller Fit the Bill in Hyperscale Applications? Phison Electronics Corp. Grace Chen SSD Project Manager grace_cy_chen@phison.com Flash Memory What can happen in 60 seconds? 2013

More information

Fig. 6-1 Conventional and Array Logic Symbols for OR Gate

Fig. 6-1 Conventional and Array Logic Symbols for OR Gate 6- (a) Conventional symbol (b) Array logic symbol Fig. 6- Conventional and Array Logic Symbols for OR Gate 2 Prentice Hall, Inc. 6-2 k address lines Read n data input lines emory unit 2 k words n bits

More information

Experimental Results of Implementing NV Me-based Open Channel SSDs

Experimental Results of Implementing NV Me-based Open Channel SSDs Experimental Results of Implementing NV Me-based Open Channel SSDs Sangjin Lee, Yong Ho Song Hanyang University, Seoul, Korea Santa Clara, CA 1 OpenSSD Project Open source SSD for search and education

More information

I N V E N T I V E. SSD Firmware Complexities and Benefits from NVMe. Steven Shrader

I N V E N T I V E. SSD Firmware Complexities and Benefits from NVMe. Steven Shrader I N V E N T I V E SSD Firmware Complexities and Benefits from NVMe Steven Shrader Agenda Introduction NVMe architectural issues from NVMe functions Structures to model the problem Methods (metadata attributes)

More information

Caches (Writing) Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. P & H Chapter 5.2 3, 5.5

Caches (Writing) Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. P & H Chapter 5.2 3, 5.5 s (Writing) Hakim Weatherspoon CS, Spring Computer Science Cornell University P & H Chapter.,. Administrivia Lab due next onday, April th HW due next onday, April th Goals for Today Parameter Tradeoffs

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

EECS 322 Computer Architecture Improving Memory Access: the Cache

EECS 322 Computer Architecture Improving Memory Access: the Cache EECS 322 Computer Architecture Improving emory Access: the Cache Instructor: Francis G. Wolff wolff@eecs.cwru.edu Case Western Reserve University This presentation uses powerpoint animation: please viewshow

More information

SED2FIII-MP Series. Embedded Storage Solutions. SATA III 2.5 Solid State Drive. SED2FIII Series Datasheet. Enterprise Grade CSXXXXXXB-XXXXB3XX

SED2FIII-MP Series. Embedded Storage Solutions. SATA III 2.5 Solid State Drive. SED2FIII Series Datasheet. Enterprise Grade CSXXXXXXB-XXXXB3XX Embedded Storage Solutions Enterprise Grade SATA III 2.5 Solid State Drive SED2FIII-MP Series CSXXXXXXB-XXXXB3XX 1 Table of Contents 1. Product Description... 4 1.1. Product Overview... 4 1.2. Product

More information

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu HeatWatch Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Storage Technology Drivers

More information

SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device

SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device SHRD: Improving Spatial Locality in Flash Storage Accesses by Sequentializing in Host and Randomizing in Device Hyukjoong Kim 1, Dongkun Shin 1, Yun Ho Jeong 2 and Kyung Ho Kim 2 1 Samsung Electronics

More information

3MG2-P Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3MG2-P Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3MG2-P Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents 2.5 SATA SSD 3MG2-P LIST OF FIGURES... 6 1. PRODUCT

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

arxiv: v2 [cs.ar] 5 Jan 2018

arxiv: v2 [cs.ar] 5 Jan 2018 1 Errors in Flash-Memory-Based Solid-State Drives: Analysis, Mitigation, and Recovery YU CAI, SAUGATA GHOSE Carnegie Mellon University arxiv:1711.11427v2 [cs.ar] 5 Jan 2018 ERICH F. HARATSCH Seagate Technology

More information

SSD Architecture for Consistent Enterprise Performance

SSD Architecture for Consistent Enterprise Performance SSD Architecture for Consistent Enterprise Performance Gary Tressler and Tom Griffin IBM Corporation August 21, 212 1 SSD Architecture for Consistent Enterprise Performance - Overview Background: Client

More information

SATA III 6Gb/S 2.5 SSD Industrial Temp AQS-I25S I Series. Advantech. Industrial Temperature. Datasheet. Rev

SATA III 6Gb/S 2.5 SSD Industrial Temp AQS-I25S I Series. Advantech. Industrial Temperature. Datasheet. Rev Advantech erature Datasheet Rev. 3.0 2015-09-22 1 Features SATA III 6Gb/s SSD Advanced Global Wear-Leveling and Block management for reliability I Series Offers industrial level SSD that sustains and extends

More information

3ME Series. Customer Approver. Approver. Customer: Customer Part Number: Innodisk Part Number: Model Name: Date:

3ME Series. Customer Approver. Approver. Customer: Customer Part Number: Innodisk Part Number: Model Name: Date: 3ME Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents 2.5 SATA SSD 3ME LIST OF FIGURES... 6 1. PRODUCT OVERVIEW...

More information

B4-Flash for Tier 0 SSD Applications

B4-Flash for Tier 0 SSD Applications B4-Flash for Tier 0 SSD Applications More Features for SSD with B4-Flash Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 NAND has been well cooked with

More information

ZD-XL SQL Accelerator 1.6

ZD-XL SQL Accelerator 1.6 ZD-XL SQL Accelerator 1.6 Integrated Flash Hardware & Software Acceleration Solution for SQL Server Features Supports Microsoft Hyper-V and VMware ESXi environments Accelerates SQL Server at a per database

More information

arxiv: v3 [cs.ar] 22 Sep 2017

arxiv: v3 [cs.ar] 22 Sep 2017 Appears in Proceedings of the IEEE, September 2017. Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives Yu Cai Saugata Ghose Erich F. Haratsch Yixin Luo Onur Mutlu

More information

BUILDING HIGH AVAILABILITY SSD

BUILDING HIGH AVAILABILITY SSD BUILDING HIGH AVAILABILITY SSD Company overview Architecture & Performance Reliability Maximizing SSD Q&A Adam Chunn 15 March 2012 Lugano- Switzerland 1-56 Select RamSan Facts The largest SSD installations

More information

Looking Ahead to Higher Performance SSDs with HLNAND

Looking Ahead to Higher Performance SSDs with HLNAND Looking Ahead to Higher Performance SSDs with HLNAND Roland Schuetz Director, Applications & Business Initiatives MOSAID Technologies Inc. Soogil Jeong Vice President, Engineering INDILINX Infrastructure

More information