Backplane Test Bus Applications For IEEE STD by Clayton Gibbs

Size: px
Start display at page:

Download "Backplane Test Bus Applications For IEEE STD by Clayton Gibbs"

Transcription

1 Backplane Test Bus Applications For IEEE STD by Clayton Gibbs Texas Instruments, Inc. Sherman, Texas Abstract Prior to the mid 1980s, the dominance of through-hole packaging of integrated circuits (ICs) provided easy access to nearly every pin of every chip on a printed circuit board. Providing accessibility and controllability for board testing was simply a matter of probing the board. With the advent of surface mount packaging, the accessibility for probing printed circuit boards began to diminish. Logic cores being integrated onto chips made them inaccessible as well. One of the solutions to address this problem eventually became standardized and is defined in IEEE STD On the printed circuit board level, this standard has become widely used. The classic method of probing boards at accessible test points is still widely used as well, and there are often hybrid test solutions that leverage both bed-of-nails testing and the IEEE STD standard test bus. Decisions for whether or not to use one versus the other are predicated on cost and familiarity with the techniques and architecture. The scope of this paper addresses the application of the IEEE STD test bus standard for system-level applications, which are considered to be systems with multiple printed circuit boards in a backplane configuration. This paper will deal specifically with implementations of IEEE STD in a backplane architecture and compare them with some of the other common methods of backplane testing. 1. Introduction Late in the 1980s, the electronics industry was seeing a need to find alternate solutions to the methods of test being used at the time. The first 1-million transistor processor had just been designed and the time to accomplish a comprehensive functional test proved to be an expensive and time-consuming task. This same complexity was being seen in other chips, as ASICs and programmable logic began to integrate entire sections of glue logic and memory into a single device. Circuit boards necessarily saw quantum steps in complexity as these larger devices were used. In an effort to keep from compromising board space, smaller pitch packaging began to emerge to accommodate these complex devices. Prior to this, the dominance of through-hole packaging of ICs provided easy access to nearly every pin of every chip on a printed circuit board. Providing accessibility and controllability for board testing was simply a matter of probing the board. With the advent of surface mount packaging, the accessibility for probing printed circuit boards began to diminish. Logic cores being integrated onto chips made them inaccessible as well. One of the solutions to address this problem eventually became standardized and is defined in IEEE STD This test bus solution has now become a part of nearly every large-scale integrated device including microprocessors, digital signal processors, and ASICs, enabling them to reap the benefits that the standard provides in testing sections of the chips that would otherwise be inaccessible. Other similar solutions such as BIST are also being used. On the printed circuit board level, this standard has also become widely used. The classic method of probing boards at accessible test points is still widely used as well, and there are often hybrid test solutions that leverage both bed-of-nails testing and the IEEE STD standard test bus. Decisions for whether or not to use one versus the other are predicated on cost and familiarity with the techniques and architecture. The scope of this paper addresses the application of the IEEE STD test bus standard for system-level applications, which are considered to be systems with multiple printed circuit boards in a Backplane configuration. See Figure 1. This paper will deal specifically with implementations of IEEE STD in a backplane architecture and compare them with some of the other common methods of backplane testing. ITC INTERNATIONAL TEST CONFERENCE /03 $17.00 Copyright 2003 IEEE 1115

2 Complete self-test by the system itself is often used in a system that has already been deployed in the field and is usually executed upon power-up of the system. A familiar example would be the power-up test sequence witnessed when a computer is booted up. The system tests its memory, determines its configuration and reports any problems to the user. Figure 1 System Backplane 2. Backplane Test Bus Solution Comparisons Multiple techniques are used in backplanes to accomplish interconnect tests, functional tests, perform field service, and program memories or programmable logic. The method of testing a system is necessarily dependent on the methods used on the sub-systems, PCBs, and the individual ICs and components. For example, if a subsystem made up of mother boards and daughter boards uses an ad hoc test method with rack-and-stack equipment, then a similar type of testing methodology will have to be employed at the system level. The obvious point here is that testing at the system level will need to be part of the plan when designing at the board level. A point in case is that if one desires to execute a built-in self test at the system level, then enabling hardware and software must necessarily be a part of board level design for test (DFT). The common testing methods used at the board level are seldom used for system-level testing. In fact, system-level testing has many parallels to the methods used for testing packaged integrated circuits. The circuit is no longer observable optically and the only access points are external. Dedicated test points have to be brought out to an external access point if they are needed. There are essentially three choices of system-level architecture for test: a complete self-test by the system; injection of test vectors and acquisition of test results using the external connections for the application environment; injection of test vectors and acquisition of test results using a separate test access port. Obviously a fourth method is to use a hybrid of one or more of the three architectures. An example of injecting external test vectors and observing the results using the external system connections for the application environment is the testing of a system that does not have all the peripheral hardware attached. IC manufacturers use this method on simpler devices that do not have any dedicated test access pins. In the case of some programmable logic devices, the application environment pins can be put in a special test mode that permits them to function as test or programming access points. Another example of this would be the testing of an automotive electronic control module. The peripheral hardware is not attached but the module can be tested using the same pins that are used in the application environment. There are multiple examples of the third method, which is injection of test vectors and acquisition of test results using a separate test access port. A separate connection dedicated to test is provided with internal connections to the system to provide accessibility and controllability of the system during test. It is common to see fairly large bundles of wires associated with this method along with multiple connectors. An example of this would be the test access port found on most automobiles manufactured today. The applications fall into three main categories: a) determination of the physical integrity of the assembly process, b) troubleshooting and debug of anomalies during the system assembly process, and c) reconfiguration and upgrading of the system following assembly. 2.1 Determination of Physical Integrity For systems in a backplane architecture, it is assumed that chip-level and board-level tests have already been performed. So the determination of physical integrity focuses on the performance of the system after all boards and modules have been attached to the backplane. It also assumes that the backplane board itself has been similarly tested. This would include connections of PCBs to the backplane, connections of cables to PCBs, applications of jumpers for system configuration, download of firmware to PLDs or memories, etc. See Figure

3 Figure 2 System Components With respect to physical integrity, it is the goal of each of these test architectures to determine whether or not the preceding assembly process was completed successfully. Everything from ohmic resistance of connections to functionality of the system is checked to make sure that the intended configuration was accomplished and that no damage was done in the process. 2.2 Troubleshooting And Debug Of Anomalies Once the physical integrity of the system has been determined, then a choice is made. If the system passes the test, it is ready for use in the application environment or is ready for the next level of assembly. If it does not pass the test, then the system is rejected and must either be scrapped or reworked. If rework is desired, then it is advantageous to know with some degree of certainty what part of the system failed. Each of the test architectures can provide this information. The real challenge is to be able to do this economically and accurately. Some test architectures are less cost effective when troubleshooting and debug are needed. 2.3 Reconfiguration And Upgrade Field failures exact the most significant economic impact on system manufacturers. Upgrades to systems and the ability to reconfigure them almost always lowers the cost of ownership. Life cycles of systems can be extended beyond the debut of the next leap in processor speed and data processing power. New functionality can be added to an existing system, negating the need for redesigns. Workarounds to design flaws can be uploaded to avoid respinning an existing system or to provide a bridge of working product during a new design cycle. 3. IEEE Architectures in Backplane Test Solutions Use of IEEE STD in the system backplane is a natural fit for the last category of system test architecture - injection of test vectors and acquisition of test results using a separate test access port. The test bus consists of a 4-wire, or optional 5-wire access port to inject test vectors and acquire test results. The IEEE STD test bus can be implemented in several different architectures. They include, a) Single Ring Architecture, b) Ring-For-Each Architecture, and c) Multi-drop Architecture. These have evolved with the acceptance of the standard as a test bus solution. The single ring architecture is the simplest to implement. The entire system is linked together into one long daisy chain. See Figure 3. Figure 3 Single-Ring Architecture This architecture is good for fairly small systems, but presents some issues for larger systems. For example, the entire system cannot be tested if even one board is removed from the backplane. Another problem arises when a fault occurs on the first boundary scan test. If one of the scan chains is broken, no information is available about the fault location. The second architecture available is the ring-for-each or star configuration. In this layout, each board would have a separate JTAG ring. This is a definite improvement over the single-ring architecture. It provides access to the rest of the system even when a slot in the backplane is empty. During the testing, it is easier to isolate faults and provides much better troubleshooting ability. The requirement is that the test controller must support multiple rings, or must have an enabling device to map multiple rings to a single primary ring. See Figure

4 Resistance is not expressly measured as with an ohmmeter or voltage versus current test, but instead depends on the resistance of the connections to be acceptable in order for the digital values to propagate through the IR or selected DR (Bypass or Identification) register. Figure 4 Multiple-Ring Architecture The third architecture is the most ideal for the system in a backplane. The multi-drop architecture allows a slot on the backplane to have its own address. Each board on the backplane will need to have an addressable scan port or other multi-drop device to handle the connection to the primary test bus. See Figure 5. At the system backplane level, the scan path integrity test will determine the integrity of the contact between the boards and the physical connectors. The test method is the same, but the vectors injected will be new. This is because no test bus connections between boards have been possible until they are installed in the backplane. So instead of testing connections between devices on a board, the test vectors will target the connections between devices from one board to another. Then the integrity of the physical connections can be determined. The process of performing the scan path integrity test proceeds as follows. Each of the multi-drop scan ports is addressed and data is scanned into and out of the device. A simple scan consists of either an instruction register (IR) scan or a data register (DR) scan. If the expected values are acquired after the scan then the integrity of the test bus interconnects is known to be good. See Figure 6. Figure 5 Multi-Drop Architecture The advantages of the architecture are that each board can be addressed and tested separately, and there is still only one test access port for the entire system driving the primary test bus. If one board is missing, or a fault occurs on the connection to a board, it is fairly easy to identify the location of the fault and even identify the type of fault. 4. IEEE Implementation of Board Interconnect Test in a Backplane 4.1 Scan Path Integrity Test Testing the Tester The first and most important test to implement is the interconnect test for the test bus, sometimes referred to as testing the tester. In the board environment, this checks that all Test Access Port (TAP) pins of all devices are connected to the board with acceptable low resistance and that the configuration is correct. Figure 6 IR/DR Scan Path Integrity Test Some of the problems with the single-ring JTAG architecture begin to be noticed even with this most simple of tests. For example, if we perform testing the tester checkout on a single ring architecture, then if a connection is open or shorted between TDO of one board and TDI of the next board, there is no way to tell which board connection is bad between the two. See Figure

5 Figure 7 Singe-Ring Architecture Fault Troubleshooting the problem will necessitate checking for damage on both boards and ringing out the backplane connectors for both boards. The multiple ring architecture will not have this problem, but only if there is one ring for each board in the backplane. If there is more than one board in one of the scan rings, then the same problem will be encountered as with single ring architecture. The multi-drop architecture can remedy this problem provided there is one multi-drop device such as an Addressable Scan Port (ASP) or Scanbridge for each board in the backplane. If an open or short occurs in the scan chain, then there is only one board to check and one connector to ring out on the backplane. The method of doing this test with an addressable scan port device on the board is different than without one. The Addressable Scan Port (ASP) or Linking Addressable Scan Port (LASP) multi-drop devices incorporate a builtin solution for testing the tester. After test reset (TRST) the test bus state machine is moved to one of several stable states: Test-Logic-Reset, Run-Test/Idle, Pause-DR, or Pause-IR. Then a select protocol followed by an acknowledge protocol is executed on the test bus. Following the receipt of a complete select-protocol sequence, the protocol result in the ASP or LASP is provisionally set to NO MATCH and the connect status of the multi-drop device is set to OFF. The received address and position then are compared to that at the ASP/LASP address (A9 A0) inputs and position (P2 P0) inputs (if it is an LASP), respectively. If these values match, the ASP/LASP immediately (with no delay) responds with an acknowledge protocol transmitted from PTDO. A 10-bit address value, 3-bit position value (if it is an LASP), and 3-bit configuration (if it is an LASP) are encoded into data-one and/or data-zero bit pairs and transmitted. These are, by definition, the same as received in the select protocol. If either the received address or position do not match that at the A9 A0 or P2 P0 inputs, respectively, no acknowledge protocol is transmitted and the linking shadow protocol is considered complete. If the select protocol sent is not acknowledged, then the targeted board or its connection to the backplane has a problem and can be checked out. If the protocol is acknowledged, then a lot of information about the integrity of the connection and the test bus has been acquired. For example, the address pin configuration is correct, the position and configuration bits are correct, and all the JTAG test bus connections to the board are correct. See Figures 8 & 9. Figure 8 ASP/LASP Scan Path Integrity Test 1119

6 Figure 9 This test can be run either as a first test in the system backplane suite of tests or as a diagnostic after a failure occurs in a more global scan path integrity test. In other words, if the first interconnect test does a complete IR or DR scan on the targeted chain, then a first diagnostic after a failure is to run the select and acknowledge protocol as just described. Other multi-drop devices will have to run a short test as described earlier after connection to the test bus has been established. A simple scan consists of either an instruction register (IR) scan or a data register (DR) scan. If the expected values are acquired after the scan then the integrity of the test bus interconnects for that board is known to be good. Now that the primary test bus connections to each board on the backplane are known to be good, further testing of interconnects between boards can continue. 4.2 Backplane Board-to-Board Interconnect Testing Backplane interconnect testing using the IEEE STD test bus is similar to interconnect testing between devices on a board. The difference is that two or more boards must be accessed in order to accomplish the test. Again the multi-drop architecture provides a more elegant solution than the single ring configuration. To begin, all boards are accessed simultaneously using multi-cast addressing and are initialized to the Test-Logic- Reset state by setting TMS high and toggling TCK 5 times. Then the first board (A) that is part of the interconnect test is selected using the multi-drop addressing protocol. A PRELOAD is executed and the first test vector is shifted into the board using a data register scan (DR-Scan). The board is then returned to the Run-Test/Idle state and then deselected. See Figure 10. ASP Select/Acknowledge Protocol Figure 10 Preload Test Vector The second board (B) is then selected and the same procedure followed on it. This continues until all boards that are part of the interconnect test being performed have had the correct vectors shifted into the boundary scan cells and returned to the Run-Test/Idle state. See Figure 11. Figure 11 Preload Test Vector 1120

7 Now, return to the first board (A) and select it. Move it from the Run-Test/Idle state to IR-Scan and load and execute the EXTEST instruction. Stimulus vectors from the board will be output on the BSCs and vectors from other boards will be input on BSCs. Obviously it is important that safe values be input from boards not being addressed at the time. This is taken care of when generating the vectors for the test. Now return the first board (A) to the Run-Test/Idle state and deselect. See Figure 12. Figure 12 EXTEST Select the second board (B) and move it from Run- Test/Idle to IR-Scan. Load and execute the EXTEST instruction followed by a DR-Scan to capture the response to the vectors from the first board. The response is shifted out while in Shift-DR state. The board (B) should then be left in Pause-DR to avoid over-writing the safe values that were simultaneously output during the EXTEST instruction. See Figure 13. All other boards that are part of the interconnect test need to be selected and the response to the vectors from the first board shifted out just as with the second board. The preceding sequence is repeated for every vector in the interconnect test for the first board. The next board is then loaded with vectors to test remaining interconnects not tested by the first board and so on until all interconnect testing of the system is complete. This flow is not the only implementation that will work. Other schemes may be used as well depending on the IEEE STD multi-drop devices being used and the test bus controller. 5. Hardware Support for Implementing IEEE in a Backplane Several devices are available across the industry for enabling system backplane testing using IEEE STD They include test bus controllers, IEEE STD compliant devices, scan path linkers, and multidrop gateway devices. IEEE STD compliant devices are quite prevalent, especially in larger ICs such as microprocessors, DSPs, FPGAs, larger PLDs, and ASICs. In addition there are a host of enabling logic devices with Boundary Scan on board. Test bus controllers are available in many architectures ranging from software to dedicated integrated circuits. The most important enabling device for systems in a backplane is probably a multi-drop device. These are available from Texas Instruments, National Semiconductor, Firecron, and IP modules from Intellitech. Each of these function in a similar fashion but are certainly not drop-in replacements for each other. They include the following devices in Table 1. Figure 13 Scan Out Results 1121

8 Device Vendor Nickname Description SN74ABT8996 SN74LVT8996 Texas Instruments Addressable Scan Port - ASP Multi-Drop Device for linking a secondary scan path to the primary scan path SN74LVT8986 Texas Instruments Linking Addressable Scan Port -- LASP Multi-Drop Device that merges the functionality of the SPL and the ASP for linking 1-24 scan paths to the primary scan path SCANSTA111 National STA111 Multi-Drop Device for linking 1-3 SCANSTA112 Semiconductor National Semiconductor STA112 secondary paths to the primary scan path Multi-Drop Device that merges the functionality of the STA110 and STA111 for linking 1 7 secondary paths to the primary scan path JTS03 Firecron LTD JTS03 Multi-Drop Device that selects 0 3 scan paths to the primary scan path JTS06 Firecron LTD JTS06 Multi-Drop Device that selects 0 6 scan paths to the primary scan path Table 1 Multi-Drop Devices 5.1 Test Bus Control Control of the test bus can be accomplished either within the system itself or remotely. If it is to be controlled within the system, then the test bus controller can either reside on one of the boards on the backplane or on the backplane itself. In a passive backplane architecture the test bus controller resides on one of the boards in the backplane. It is also possible for all boards in the backplane to have a test bus controller resident on each of them. See Figures 14 & 15. Figure 15 Passive Backplane With Per Board Test Control In an active backplane, the test bus controller resides either remotely or on the backplane itself. This configuration is more robust for implementing a multidrop architecture. See Figure 16. Figure 14 Passive Backplane With One Test Control Board Figure 16 Active Backplane 1122

9 5.2 Multi-Drop Devices The multi-drop devices evolved from the older scan path linkers that allowed secondary scan paths to be included with or excluded from the primary scan path. These devices provided great flexibility for programming flash memory, accessing daughter boards, etc. The scan path linkers themselves, however, could not be removed from the primary scan path. So if a board with a scan path linker is removed from the backplane, the primary scan path is broken and no testing can be done using the IEEE STD test bus. The multi-drop devices overcame this limitation using addressing protocol schemes and making them reside in a parallel architecture on the test bus. The ASP hybrids such as the NSC STA112 and Texas Instruments SN74LVT8986 (LASP) combine the functionality of the scan path linkers and the multi-drop functionality into a highly configurable and flexible test bus architecture. Their applications in the system backplane architecture are supersets of their use during board test. It allows reuse of tests already done at the board level, reducing the amount of test design time, and the size and complexity of the test hardware needed for system level test, debug, and system updating or reconfiguring Overcoming Long Scan Chains One of the primary advantages of the multi-drop architecture in the system backplane is the reduction in the length of scan chains and the resulting length of a single test. For example, a scan chain can only be clocked at the speed of the slowest JTAG enabled part in the scan path. A high-density board with multiple high-pin-count devices will have a scan chain that is quite long. The vectors can only be scanned through the chain at the speed of the slowest device. It is advantageous to break it up into sections that will optimize the amount of time required to complete the tests. A multi-drop device that can selectively link secondary scan paths to the chain will provide this flexibility without adding more devices. See Figure 17 Figure Programming Flash Memory and CPLDs The IEEE STD test bus, initially the domain of board test, has seen increasing popularity among programmers of CPLDs and Flash Memories. This trend is further enabled by the advantages of a multi-drop device on a printed circuit board. Use of an LASP or STA112 multi-drop device allows access and control of the scan chains with fewer partitioning devices. Without the use of an LASP or similar device to partition a board, all other devices in the chain are placed in BYPASS or CLAMP mode in order to shorten the chain and to allow safe values to be held on their outputs. The programming ASIC is moved to EXTEST mode to prepare for the flash programming process. LASP Function Example Without a partitioning device, the speed of the programming is tied to the slowest TCK frequency of the slowest device in the scan chain. This constraint may violate the specifications for programming time on the flash memory. A multi-drop device on the board allows two solutions. First of all, it allows addressing of only one board on the backplane for application of the programming vectors. In addition a hybrid multi-drop device like the LASP enables further partitioning of the same board to select only the scan chain with the flash memory. This scan chain can be designed to include JTAG devices that have acceptable TCK timing, eliminating the constraints introduced by slower devices. See Figure

10 The advantages for board level programming of flash memory and PLDs is at once extended to the system in a backplane. Tremendous advantages and cost savings are realized here, since it is possible to reconfigure the functionality of firmware in the field to address problems not identified prior to deployment of the entire system. This ability also extends the life of systems by providing feature upgrades to the system in situ. No replacing boards or swapping components is needed for many upgrades or new system configurations Accessing Daughter Boards When a daughter board is attached to a motherboard, it is necessary to have some way to access it if one wishes to test its connections during system-level test. Without a partitioning device of some kind, the motherboard cannot be tested unless the daughter board is connected to it. A scan path linker could be added to the motherboard to facilitate this. The SPL must be resident on the motherboard, not on the daughter board, or the same conditions will exist as if there were no partitioning device, i.e., no daughter board, no JTAG test capability. A multi-drop device on the motherboard provides a more elegant solution. See Figure 17. Here the daughter board scan chain can be connected to the primary scan chain via the multi-drop device, with no other partitioning devices needed. It should be noted that this configuration provides much finer resolution of diagnostics during system backplane testing. A short or open in the connection between the motherboard and daughter board can be detected and the proximity of the fault determined before removing the boards from the system Diagnostics Perhaps the most valuable advantage of using multi-drop devices on boards in a backplane is the ability that it provides for diagnostics either at system level test or when the system is deployed in the field. It provides a number of advantages that are more difficult to obtain with other methods of system test and the associated diagnostics. First of all it enables a system to be partitioned into manageable blocks so that a fault can be found more quickly and with greater accuracy. All tests may not have to be run to determine a properly functioning system. Should a test fail, however, the full suite of tests can be leveraged to bound the fault. Secondly, multi-drop devices allow test cost reduction by eliminating tests with very low failure rates. For example, if a particular connection on a board has never failed and the defective parts per million (DPPM) is below an acceptable level, the test may be eliminated if the functionality of the system would detect it otherwise. Conversely, if a connection on the backplane has proven to be chronically problematic, it may be necessary to add a test on the scan chain that supports the test of that connection. A third advantage of multi-drop devices is the ability to reuse JTAG tests that were already being used at the board level, or even the chip level. This reusability is especially valuable because many tests that are run in the factory using JTAG testing can also be run in the field to diagnose failures. There will then be apples-to-apples correlation when comparing field failures to factory failures using the same test. 6. Design Support for Implementing IEEE in a Backplane Devices with a boundary scan register and the associated state machine are almost always provided with a description of the boundary scan functionality. Known as BSDL (Boundary Scan Description Language) files, these are provided for use in design of printed circuit boards and systems when IEEE test is designed in. Most test bus controllers and scan support devices do not have a boundary scan register, so no BSDL file is appropriate for these devices. Instead the functionality is written into a file similar to an HDL file that provides designers with functional descriptions of the device. The boundary-scan tools used for test generation and application must support the devices used to select a specific scan path configuration. The tools must know the scan path configuration to generate the tests, and must make sure the scan path is properly configured before applying the tests. Firms such as ASSET InterTech, JTAG Technologies, Corelis, and Goepel generate these files and provide design support. Support for each device type must be created individually. A file is created that describes all the boundary-scan characteristics of the device as well as the methods used to control scan path selection. This file is then provided the test development tools. The file is used as part of the scan path description created for the board or backplane. Based on this file, the tools know how to communicate with the device to configure the scan path. Before a test can be generated, the tools must know the exact number of bits in the scan path. The test development tool manages this by using preconditioning to establish the scan path configuration during test generation. All test and programming operations are encapsulated and includes all the information necessary to apply the action, including the preconditioning that sets up the scan path configuration. In this way, the development tool ensures that the same scan path configuration is used 1124

11 to apply the test as was used to generate the vectors. The actions can be run individually during prototype debug or as part of a test sequence during manufacturing tests. Actions that do nothing but control the scan path configuration can also be created to set the board or backplane in a static configuration while tests without preconditioning are run. Support for the devices being used by the boundary-scan tool must be a primary consideration during the board or system design stages. Although these functions can be designed into PLDs or ASICs in-house, it is not usually possible to find commercially available tools to support them. Using off-the-shelf devices, or commercially available IP to design them into ASICs will save a lot of trouble and expense in the long run. 7. Case Study A multi-function display unit designed and manufactured by BAE Systems, Edinburgh, was designed with the IEEE test bus architecture. The block diagram for this system is shown in Figure 18. The system incorporated a power supply and three printed circuit boards (PCBs): a control board, a video board and an interface board. Figure 18 Multi-Function Display System The video board contained multiple CPLDs, an FPGA, and Flash Memory. Its function was to receive digital video and control data, buffer and process it, and drive the LCD display. The control board contained a microcontroller, CPLDs, FPGAs, Flash Memories, SRAM, EEPROM, a UART, a power supply, and various integrated and discrete analog devices including ADCs. This board also provided status indicators such as LEDs, and received inputs from switches, potentiometers, keyboards, and sensors. Its function was to receive and process input from the external links and the interface PCB. It also processed panel inputs from its own physical interface. The interface board contained an FPGA, a CPLD, and EEPROM. Its function was to provide an interface to the video display card and an analog video screen. It processed digital video data and output various video formats for display. To implement IEEE STD , each of the boards was constrained to use the same pin-out for test during the 1125

12 design. The adapter for each board provided power for the board and contained the IEEE STD Test Access Port (TAP) pins. Board test included both roving probe (sometimes called flying probe ) and scan testing. The scan tests were matched with the roving probe tests so that there was no duplication of the tested nets. Each board also had a multi-drop device, in this case, the Addressable Scan Port (ASP) from Texas Instruments. The use of this device allowed the extension of scan access and testing from the board level to the system level. An added cost saving was realized by reusing the board level tests at the system level. The addressing scheme for the ASP used a 10-bit address that identified a specific board during system-level test, allowing a direct connection of the secondary scan chain to the primary scan chain. In addition, the optional Bypass addressing scheme provided the capability to force a primary to secondary connection without the need for addressing. The ~CON pin, indicating the status of the primary to secondary connection, can also be used for local control on the board. The ASP devices also have a high output drive that supported the backplane interface well and provided high fan-out on the secondary scan chain. 7.1 Success Stories in this Case Study The advantages in field diagnostics and testing have already been highlighted in this paper. One of these advantages played out well in a field failure with the BAE Systems multi-function display system. The system identified a programming error in the firmware while in the field. Forty of these systems were already deployed and all of them had the same problem. If the board were removed from the system and reprogrammed to reconfigure the system, then a full factory test would have to be executed taking over six hours. The use of the system level IEEE STD test bus provided a quick solution for reconfiguring the system without removal of a single board. Another success story where the system level IEEE STD test bus proved valuable happened when it became necessary to modify the contents of the flash memory on one of the cards. The goal was to modify the contents of the flash memory without removing the covers on the box around the system. The solution was quite simple and involved the connection of the system test bus to a laptop computer. The initial attempt was successful, but was taking an inordinate amount of time to complete (greater than 80 minutes for only part of the flash memory) because the parallel port on the computer was being used and it ran at only 1 MHz. So a PC with a PC-AT card was used, cutting the programming time down to something more manageable, around 6 1/2 minutes. 7.2 Lessons Learned External Clock Disable Signal BAE Systems engineering found it advantageous to also bring out a master oscillator disable signal along with the TAP. The purpose of this signal line was to kill all the CPU clocks on the board under test in order to prevent the unit under test (UUT) from powering up into an operational mode. In many cases a signal named 'Ground Test' was also brought out to ensure that any test mode would only be available on the bench and not in the application environment mode. See Figure

13 Figure 19 Multi-Function Display System Test Bus TAP Signal Buffering Buffering of the TAP signals, both inputs and outputs, on a board is advantageous. Backplanes tend to be noisier due to the longer signal traces. The buffers keep the signal noise from affecting the devices on the board. The ASP device from Texas Instruments did not require buffering because the drive capability was high enough to provide adequate fan-out and to drive the signals onto the backplane Addressable Scan Port Address Usage In systems where more than one instance of a particular PCB is to be installed and it is necessary to have the ability to address one of these boards, the ASP address needs to be related, at the system level, to its position in the system. For this BAE Systems has now assigned the top 5 bits (of 10) of the ASP addresses to be locked to the card position on the backplane. These bits are hardwired on the backplane board. The actual card address, for module test, will use the lower 5 address bits for individual cards. These bits are hardwired on the card. Backplane Position Table 2 Board Address System ASP Address Card Type A A B BAE Systems Addressing Scheme This example shows three PCBs of two different types, inserted into the same backplane. At module/factory level there are two tests, but when in the system there are three tests. Here the ASP address is the only difference between system-level test and the factory test. This concept can also apply to daughter boards, as BAE Systems has also implemented the ASP on daughter boards. In addition this can also be used as a simple system configuration test to verify the position of PCBs. This is done by using the ASP 'scan' feature and returns a list of all ASP addresses that are found ASP on the Backplane Board In retrospect, the BAE Systems engineer felt that locating the ASP on the backplane might be a good idea although 1127

14 the idea met with some resistance. The advantage would be the ability to plug a board with a different configuration into the same socket, leaving the board address the same in the ASP addressing scheme. 8. Summary and Conclusions The introduction of multi-drop devices has enabled the extension of the IEEE STD test bus to the system level. There are many advantages to this test solution versus others. They include reusability of tests, in situ reconfiguration of firmware, identical test correlation from the factory to the field, significant reduction in the size of test hardware and software, and significant reduction in test time. Perhaps one of the most significant advantages, however, is the ability to debug and diagnose a failure. The test bus provides accessibility that cannot be obtained with the same cost model in other system test methodologies, and the multi-drop architecture provides the flexibility to partition the system during test and debug, simplifying the process of identifying and defining a failure. 9. Acknowledgements Information for this paper was provided by the following people: Ken Williams, Texas Instruments Rakesh Joshi, Texas Instruments Jim Webster, BAE Systems R.G. Bennetts, Bennetts Associates Dave Bonnett, ASSET InterTech 10. References [1] J.Doe & M.Jones, Measuring Interesting Waveforms with Novel Techniques, Proceedings IEEE International Test Conference, 1999, pp [1] Rick Nelson, PCB Test: Nails or TAP?, Test & Measurement World, 9/1/2002 [2] Dan Romanchik, A Board Test Tutorial, Test & Measurement World, 7/1/1994 [3] David Bonnett, IEEE STD Yields New Standards, Test & Measurement World, 4/1/2002 [4] T. Marosvolgyi, H. Tietze, & T. Wenzel, Flying Probe and Boundary Scan Testers Unite, Test & Measurement Europe, 8/1/1999 [5] David Rolince, Extend The Frontiers Of Boundary- Scan Test, Test & Measurement World, 2/1/2001 [6] Structural System Test via IEEE Std with Hierarchical and Multi-drop Addressable JTAG Port, SCANPSC110F, AN-1023, [7] SN74ACT8990 Data Sheet, Texas Instruments; [8] SN74LVT8980A Data Sheet, Texas Instruments; [9] SN74LVT8996 Data Sheet, Texas Instruments; [10] SN74LVT8986 Data Sheet, Texas Instruments; [11] Cascading Multiple-Linking Addressable-Scan-Port Devices, Application Report SCTA056 - November 2002, [12] SN74ABT8996 Data Sheet, Texas Instruments; [13] SCANSTA111 Data Sheet, National Semiconductor; [14] JTS03 Data Sheet, Firecron; pdf [15] JTS06 Data Sheet, Firecron; pdf [16] SN74ACT8997 (Scan Path Linker) Data Sheet, Texas Instruments; [17] Intellitech JTAG IP,

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

SCANWORKS TEST DEVELOPMENT STATION BUNDLE

SCANWORKS TEST DEVELOPMENT STATION BUNDLE SCANWORKS TEST DEVELOPMENT STATION BUNDLE The ScanWorks Test Development Station is the most powerful set of boundary-scan test development and application tools available. It not only includes all the

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

Chip & Board Testability Assessment Checklist

Chip & Board Testability Assessment Checklist Chip & Board Testability Assessment Checklist Prepared by Ben Bennetts, DFT Consultant for ASSET InterTech, Inc. 1 July 2005 Abstract: BA Board Testability Assessment 2002, Bennetts Associates checklist

More information

Boundary-Scan, Silicon and Software Enable System Level Embedded Test

Boundary-Scan, Silicon and Software Enable System Level Embedded Test Boundary-Scan, Silicon and Software Enable System Level Embedded Test ABSTRACT Designing IC s, boards, and systems with a DFT strategy that utilizes boundary-scan, will make a quantum improvement in test

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman

Board-level testing and IEEE1149.x Boundary Scan standard. Artur Jutman Board-level testing and IEEE1149.x Boundary Scan standard Artur Jutman artur@ati.ttu.ee February 2011 Outline Board level testing challenges Fault modeling at board level (digital) Test generation for

More information

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J

SCANSTA111. SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE (JTAG) Port. Literature Number: SNLS060J SCANSTA111 Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port Literature Number: SNLS060J Enhanced SCAN Bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111

More information

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL

BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL BOUNDARY-SCAN DFT & LAYOUT PRINCIPLES at BOARD LEVEL Ian Saunders Ians@jtag.co.uk JTAG TECHNOLOGIES B.V. UK Sales & Support Centre Tel: 01234 831212 Fax: 01234 831616 Design For Test - Component Selection

More information

SCANSTA112 Designers Reference

SCANSTA112 Designers Reference SCANSTA112 Designers Reference Introduction The SCANSTA112 is the third device in a series that enable multi-drop address and multiplexing of IEEE-1149.1 scan chains. The 'STA112 is a superset of its predecessors

More information

Boundary-Scan Integration to In-Circuit Test

Boundary-Scan Integration to In-Circuit Test Boundary-Scan Integration to In-Circuit Test John Carlos O Farrill, Test Engineer, Jabil Circuit, Inc., Advanced Test Technology E-mail: Carlos_O Farrill@Jabil.com TOPICS Scope of the Paper The Distinct

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

P1149.1A Extensions to IEEE-STD

P1149.1A Extensions to IEEE-STD AN-890 Fairchild Semiconductor Application Note February 1994 Revised May 2001 P1149.1A Extensions to IEEE-STD-1149.1-1990 Abstract Since publication of IEEE-1149.1-1990/ANSI 1, 2, 3, extensions and requests

More information

BOUNDARY SCAN TESTING FOR MULTICHIP MODULES. Stephen C. Hilla Environmental Research Institute of Michigan. P.O. Box

BOUNDARY SCAN TESTING FOR MULTICHIP MODULES. Stephen C. Hilla Environmental Research Institute of Michigan. P.O. Box BOUNDARY SCAN TESTING FOR MULTICHIP MODULES Stephen C. Hilla Environmental Research Institute of Michigan P.O. Box 134001 Ann Arbor, Michigan 48 1 13 An application of boundary scan testing in the development

More information

Boundary-Scan Tutorial

Boundary-Scan Tutorial See the ASSET homepage on the World Wide Web at http://www.asset-intertech.com ASSET, the ASSET logo and ScanWorks are registered trademarks, and DFT Analyzer is a trademark of ASSET InterTech, Inc. Windows

More information

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port

SCANSTA111 Enhanced SCAN bridge Multidrop Addressable IEEE (JTAG) Port Enhanced SCAN bridge Multidrop Addressable IEEE 1149.1 (JTAG) Port General Description The SCANSTA111 extends the IEEE Std. 1149.1 test bus into a multidrop test bus environment. The advantage of a multidrop

More information

8. JTAG Boundary-Scan Testing in MAX V Devices

8. JTAG Boundary-Scan Testing in MAX V Devices December 2 MV58-. 8. JTAG Boundary-Scan Testing in MAX V Devices MV58-. This chapter describes the IEEE Std.49. (JTAG) boundary-scan testing for Altera MAX V devices. The IEEE Std. 49. BST circuitry available

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

JTAG/Boundary Scan Design for Testability

JTAG/Boundary Scan Design for Testability JTAG/Boundary Scan Design for Testability Foresighted Board Level Design for Optimal Testability Get the total Coverage! 2 Table of Contents Table of Contents Table of Contents.... 2 A short Introduction

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

SCANSTA101 STA Master Design Guide

SCANSTA101 STA Master Design Guide SCANSTA101 STA Master Design Guide 2010 Revision 1.0 Developing a System with Embedded IEEE 1149.1 Boundary-Scan Self-Test national.com/scan Table of Contents Acknowledgements... 4 A Word about the Automatic

More information

Web-Based Training System for Teaching Principles of Boundary Scan Technique

Web-Based Training System for Teaching Principles of Boundary Scan Technique Web-Based Training System for Teaching Principles of Boundary Scan Technique A. Jutman, A. Sudnitson, R. Ubar Tallinn Technical University, Department of Computer Engineering Raja 15, 12618 Tallinn, Estonia

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Digital Electronics 27. Digital System Design using PLDs

Digital Electronics 27. Digital System Design using PLDs 1 Module -27 Digital System Design 1. Introduction 2. Digital System Design 2.1 Standard (Fixed function) ICs based approach 2.2 Programmable ICs based approach 3. Comparison of Digital System Design approaches

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information

description STRB A0 A1 A2 R/W D1 D2 D3 GND D4 D5 RDY TDO TCK TMS TRST TDI RST TOE D7 CLKIN STRB R/W D0 D1 D2 D3 NC GND D4 D5

description STRB A0 A1 A2 R/W D1 D2 D3 GND D4 D5 RDY TDO TCK TMS TRST TDI RST TOE D7 CLKIN STRB R/W D0 D1 D2 D3 NC GND D4 D5 Members of Texas Instruments (TI) Broad Family of Testability Products Supporting IEEE Std 1149.1-1990 (JTAG) Test Access Port () and Boundary-Scan Architecture Provide Built-In Access to IEEE Std 1149.1

More information

Keysight Technologies Understanding x1149 Integrity Test. Application Note

Keysight Technologies Understanding x1149 Integrity Test. Application Note Keysight Technologies Understanding x1149 Integrity Test Application Note Introduction This application note describes in detail what the Keysight x1149 Boundary Scan Analyzer performs during the Integrity

More information

Test Match Partnering Specialist Boundary-Scan with ICT

Test Match Partnering Specialist Boundary-Scan with ICT Test Match Partnering Specialist Boundary-Scan with ICT Introduction: Boosting ICT to Improve Testability Popular ICT platforms from well-known vendors can perform a wide variety of analogue, digital,

More information

ORCA Series Boundary Scan

ORCA Series Boundary Scan August 24 Introduction ORCA Series Boundary Scan Application Note AN873 The increasing complexity of integrated circuits and packages has increased the difficulty of testing printed-circuit boards. As

More information

description STRB A0 A1 A2 R/W RDY TDO D1 D2 D3 GND D4 D5 TCK TMS TRST TDI D7 CLKIN RST TOE STRB R/W D0 D1 D2 D3 NC GND D4 D5

description STRB A0 A1 A2 R/W RDY TDO D1 D2 D3 GND D4 D5 TCK TMS TRST TDI D7 CLKIN RST TOE STRB R/W D0 D1 D2 D3 NC GND D4 D5 Members of Texas Instruments Broad Family of Testability Products Supporting IEEE Std 1149.1-1990 (JTAG) Test Access Port () and Boundary-Scan Architecture Provide Built-In Access to IEEE Std 1149.1 Scan-Accessible

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Spartan-3E FPGA Design Guide for prototyping and production environment

Spartan-3E FPGA Design Guide for prototyping and production environment 3ème conférence Internationale des énergies renouvelables CIER-2015 Proceedings of Engineering and Technology - PET Spartan-3E FPGA Design Guide for prototyping and production environment Mohammed BOUDJEMA

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

ispvm System Software ISP TM Programming Software

ispvm System Software ISP TM Programming Software October 2002 ispvm System Software ISP TM Programming Software Data Sheet Features Serial and Turbo ispdownload of All Lattice ISP Devices Non-Lattice Device Programming Through SVF File Program Entire

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE 1149.1 A. JUTMAN, A. SUDNITSON, R. UBAR TALLINN TECHNICAL UNIVERSITY, ESTONIA KEYWORDS: Web-Based Teaching, Boundary Scan, Java Applet ABSTRACT:

More information

Reusable, Low-cost, and Flexible Multidrop System JTAG Architecture

Reusable, Low-cost, and Flexible Multidrop System JTAG Architecture Reusable, Low-cost, and Flexible Multidrop System Architecture Hung-chi Lihn Brocade Communications Systems, Inc. San Jose, California, USA hlihn@brocade.com Abstract A reusable, low-cost, and flexible

More information

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at:

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: http://cmsdoc.cern.ch/cms/hcal/document/countinghouse/dcc/dcctechref.pdf Table

More information

On the Fly Reconfiguration with CoolRunner-II CPLDs

On the Fly Reconfiguration with CoolRunner-II CPLDs Application Note: CoolRunner-II CPLDs XAPP388 (v1.2) May 15, 2003 R On the Fly Reconfiguration with CoolRunner-II CPLDs Summary This application notes describes the CoolRunner -II CPLD capability called

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE 1 2 3 CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE DEVELOPMENT BOARD Authors: Jonah Probell and Andy Young, design engineers, Lexra, Inc. 4 5 6 7 8 9 A Hardware/Software Development

More information

TAP Expander Blackhawk Emulator Expansion Pod. Document Part Number: REV B

TAP Expander Blackhawk Emulator Expansion Pod. Document Part Number: REV B CORELIS TAP Expander TAP Expander Blackhawk Emulator Expansion Pod User s Manual Document Part Number: 70397 REV B Copyright 2008 Corelis Inc. 13100 Alondra Blvd. Suite 102 Cerritos, CA 90703-2262 Telephone:

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Remote Boundary-Scan System Test Control for the ATCA Standard

Remote Boundary-Scan System Test Control for the ATCA Standard Remote Boundary-Scan System Test Control for the ATCA Standard David Bäckström *, Gunnar Carlsson +, Erik Larsson * Embedded Systems Laboratory * Digital Processing Platform + Linköpings Universitet Ericsson

More information

Design for Test (DfT) for Embedded Board Test. Foresighted Board Level Design for Optimal Testability and Coverage

Design for Test (DfT) for Embedded Board Test. Foresighted Board Level Design for Optimal Testability and Coverage Design for Test (DfT) for Embedded Board Test Foresighted Board Level Design for Optimal Testability and Coverage Content 1. Design for Test - Embedded Board Test... 5 1.1 Why Design for Test?... 5 1.2

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1 Module 1 Introduction Version 2 EE IIT, Kharagpur 1 Lesson 3 Embedded Systems Components Part I Version 2 EE IIT, Kharagpur 2 Structural Layout with Example Instructional Objectives After going through

More information

Impact of JTAG/ Testability on Reliability

Impact of JTAG/ Testability on Reliability Impact of JTAG/1149.1 Testability on Reliability SCTA041A January 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product

More information

Architecting DFT into Board Design to Leverage Board-level Boundary Scan

Architecting DFT into Board Design to Leverage Board-level Boundary Scan Freescale Semiconductor Document Number: AN3812 Rev. 3, 01/2009 Architecting DFT into Board Design to Leverage Board-level Boundary Scan by: Rod Watt 1 Abstract With increasing board densities, multilayer

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Using Boundary Scan on the TMS320VC5420

Using Boundary Scan on the TMS320VC5420 Application Report SPRA597 - November 1999 Using Boundary Scan on the TMS320VC5420 Clay Turner C5000 Applications Team ABSTRACT The Texas Instruments (TI ) TMS320VC5420 DSP implements limited boundary

More information

Mixed Signal IC Testing. Mixed Signal DFT. IEEE Std 蘇朝琴國立交通大學電機工程學系. Mixed Signal IC Testing. IEEE Std. 1149

Mixed Signal IC Testing. Mixed Signal DFT. IEEE Std 蘇朝琴國立交通大學電機工程學系. Mixed Signal IC Testing. IEEE Std. 1149 ixed Signal DFT IEEE Std. 49 蘇朝琴國立交通大學電機工程學系 ST IEEE std 49 P. IEEE Std. 49 IEEE Std. 49. IEEE Std. 49.5 IEEE Std. 49.4 ST IEEE std 49 P.2 IEEE Std. 49. Test ccess Port and Boundary Scan rchitecture The

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

Boundary Scan Tutorial. A tutorial prepared by Dr R G Ben Bennetts, DFT Consultant. Tel: Welcome!!

Boundary Scan Tutorial. A tutorial prepared by Dr R G Ben Bennetts, DFT Consultant. Tel: Welcome!! Boundary Scan Tutorial A tutorial prepared by Dr R G Ben Bennetts, DFT Consultant Tel: +44 489 58276 E-mail: ben@dft.co.uk Welcome!! Boundary-Scan Tutorial A Tutorial prepared by by by by Dr Dr R G Ben

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Understanding Device Level Connection Topologies

Understanding Device Level Connection Topologies Sept. 2013 Understanding Device Level Connection Topologies Author: Advantech E-mail: eainfo@advantech.com Sept. 2013 Even ten years ago, most factory floor sensors were connected directly to a PLC or

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

In-Circuit Functional Test ATE Tools

In-Circuit Functional Test ATE Tools In-Circuit Functional Test ATE Tools Today many tools are available to test a PCB either in the production line and for repair purposes. In this article we ll try to highlight the basic principles behind

More information

Wall Industries SMPS and Microcontrollers

Wall Industries SMPS and Microcontrollers Wall Industries SMPS and Microcontrollers Introduction Wall Industries commitment to the latest technologies is evident in its recent announcement to add microcontrollers to their already advanced designs.

More information

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Multicommunication Type Identifying Debugging Probe

Multicommunication Type Identifying Debugging Probe Multicommunication Type Identifying Debugging Probe Group 1619 Hardware Security Team members: Austin Funes Cheng Guo Sommy Okwuosah Team Advisor: Dr. Chandy Graduate Advisor: Sara Tehranipoor Summary:

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Programming the isppac-powr1220at8 in a JTAG Chain Using the ATDI Pin

Programming the isppac-powr1220at8 in a JTAG Chain Using the ATDI Pin in a Chain Using the A Pin February 2011 Application Note AN6068 Introduction The primary function of the isppac -POWR is to monitor, measure, trim/margin and to sequence the application of power to electronic

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6 Digilent XC2-XL System Board Reference Manual www.digilentinc.com Revision: May 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent XC2-XL System Board (the

More information

Section 33. Programming and Diagnostics

Section 33. Programming and Diagnostics Section 33. Programming and Diagnostics HIGHLIGHTS This section of the manual contains the following topics: 33.1 Introduction... 33-2 33.2 Control Registers... 33-3 33.3 Operation... 33-7 33.4 Interrupts...

More information

Automatic Constraint Generation for Boundary Scan Interconnect Tests

Automatic Constraint Generation for Boundary Scan Interconnect Tests Automatic Constraint Generation for Boundary Scan Interconnect Tests Kendrick Baker Rick Borton Raytheon Company McKinney, TX ABSTRACT This paper discusses an algorithm to automate the generation of constraints

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

Industry Standards and Their Importance

Industry Standards and Their Importance Gary L. Swoboda CTO of and Test Technology, Texas Instruments Principal Architect and Editor: IEEE 1149.7 Working Group Industry Standards and Their Importance The Future of Test,, and Instrumentation

More information

9. IEEE (JTAG) Boundary-Scan Testing for Stratix II and Stratix II GX Devices

9. IEEE (JTAG) Boundary-Scan Testing for Stratix II and Stratix II GX Devices SII529-3.3 9. IEEE 49. (JTAG) Boundary-Scan Testing for Stratix II and Stratix II GX Devices Introduction As printed circuit boards (PCBs) become more complex, the need for thorough testing becomes increasingly

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

Chapter 3: Computer Assembly

Chapter 3: Computer Assembly Chapter 3: Computer Assembly IT Essentials v6.0 ITE v6.0 1 Chapter 3 - Sections & Objectives 3.1 Assemble the Computer Build a Computer. 3.2 Boot the Computer Explain how to verify BIOS and UEFI settings.

More information

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test

Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Keysight Technologies ABCs of Writing a Custom Boundary Scan Test Article Reprint This article was first published in Circuits Assembly, Printed Circuit Design and Fab in October, 2014. Reprinted with

More information