Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Size: px
Start display at page:

Download "Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON"

Transcription

1 Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

2 Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible defects New defects, such as ageing Can be handled with: More embedded (on-chip) instruments Lead to: Communication with instruments becomes important In this talk: How IEEE 687 enables such communication through the lifetime; from manufacturing test to reliability handling during operation

3 Our everyday lives.

4 includes much electronics,

5 which is characterized by Increasing transistor counts Decreasing transistor sizes An example: SUN M7 billion transistors 2 nm technology

6 and problems Ageing: a working IC slowly degrades and becomes defective after some time in operation No Trouble Found (NTF): a system seems defective in operation but when taken for repair no problem can be found. Example [ACC8]: Total cost of consumer electronics returns and repairs in USA was estimated at $3.8 billion in 27 For a typical $ billion dollar consumer electronic device manufacturer and large retailer, a % reduction in No Trouble Found returns can add up to approximately $37 million in shared savings. [ACC7] Accenture Research: Big Trouble with No Trouble Found Returns

7 need more effort Manufacturing problems which cause more effort: post-silicon validation, debug, wafer sort, package test, burn-in, printed circuit board (PCB) bring-up, and test of PCB assemblies. Reliability issues which cause more effort: monitor activity, making configuration, clock control, power management, fault detection, memory built-in self-test (BIST), logic BIST.

8 which can be handled with Embedded (on-chip) instruments: Memory built-in self-test (BIST), logic BIST, trace buffers, monitor activity, making configuration, clock control, power management, fault detection, The number of embedded instruments increases [FRO9] Can be in range of [POS5] [FRO9] Embedded Instrumentation: Its Importance and Adoption in the Test & Measurement Marketplace. [Online]. Available: cio/ [POS5] K. Posse, Component Manufacturer Perspective, in Proc. IEEE Int l Test Conf. (ITC), Oct. 25.

9 to be accessed through the lifetime At manufacturing (offline) In-situ test (operation) At repair-shop (offline) In general, when you buy an IC, you also get ways to access embedded instruments, for example BIST. Let s run the memory BIST

10 to be accessed through the lifetime At manufacturing IC users: (offline) In-situ test fantastic (operation) At repair-shop (offline) In general, when you buy an IC, you also get ways to access embedded IC manufacturer: instruments, not for sure example I want to BIST. enable access, at least not to everything Let s run the memory BIST

11 An embedded instrument A temperature sensor Enable Wait a while Get temperature Temp[3:] Temperature Sensor En

12 placed in an IC Temp[3:] Temperature Sensor En

13 enable, wait,. Temp[3:] Temperature Sensor En

14 get temperature Temp[3:] Temperature Sensor En

15 more instruments, but too many pins Temp[3:] Temperature Sensor En Temp[3:] Temperature Sensor En Temp[3:] Temperature Sensor En Temp[3:] Temperature Sensor En

16 With scan-chains, fewer pins Shift/capture Temp[3:] Scan-chain Temperature Sensor En

17 Retarget from parallel to seriell Temp[3:] Scan-chain Temperature Sensor En

18 Set to shift Shift Scan-chain Temp[3:] En Temperature Sensor

19 Start shifting Shift Scan-chain Temp[3:] En Temperature Sensor

20 Set to capture Capture Temp[3:] Scan-chain Temperature Sensor En

21 capture Capture Temp[3:] Scan-chain En Temperature Sensor

22 Many instruments Shift Scan-chain Scan-chain Temperature Sensor Temperature Sensor

23 Many instruments Shift Scan-chain Scan-chain Temperature Temperature Much time overhead Sensor all Sensor instrument are always on the scan-path

24 Simpler illustration Shift Scan-chain Scan-chain X Temperature Sensor X Temperature Sensor

25 Simpler illustration Shift Instrument Instrument 2

26 IEEE 49. Functional I/O BSC BSC BSC Core logic BSC BSC BSC Functional I/O BSC BSC Custom Register Bypass IR Decoder TMS TCK Instruction Register TAP Controller TRST

27 IEEE 49. Functional I/O BSC BSC BSC Core logic BSC BSC BSC Functional I/O BSC BSC Custom Register Bypass IR Decoder Step TMS TCK Instruction Register TAP Controller TRST

28 IEEE 49. Functional I/O BSC BSC BSC Core logic BSC BSC BSC Functional I/O BSC BSC INSTR Custom Register Bypass Select instruction for custom register IR Decoder Instruction Register Step TMS TCK TAP Controller TRST

29 IEEE 49. Functional I/O BSC BSC BSC Core logic BSC BSC BSC Functional I/O BSC BSC Custom Register Bypass IR Decoder Step 2 TMS TCK Instruction Register TAP Controller TRST

30 IEEE 49. Functional I/O BSC BSC BSC Core logic BSC BSC BSC Functional I/O BSC BSC Custom Register Bypass IR Decoder Step 2 TMS TCK Instruction Register TAP Controller TRST

31 IEEE 49. Custom Register Bypass Instrument Instrument 2

32 IEEE 49. Custom Register Bypass Instrument Instrument 2 Alternative : One custom register with both instruments Instrument Instrument 2

33 IEEE 49. Custom Register Bypass Instrument Instrument 2 Alternative : One custom register with both instruments Instrument Instrument 2 Alternative 2: One custom register per instrument Instrument Instrument 2

34 IEEE 49. Custom Register Bypass Instrument Instrument 2 Alternative : One custom register with both instruments Instrument Instrument 2 Alternative 2: One custom register per instrument Instrument Instrument 2

35 IEEE 49. Custom Register Bypass Instrument Instrument 2 Alternative : One custom register with both instruments Instrument Instrument 2 Alternative 2: One custom register per instrument Instrument Instrument 2

36 IEEE 49. Custom Register Bypass Instrument Instrument 2 Alternative : One custom register with both instruments Alternative : Instrument Instrument All instruments 2 are always accessed Alternative 2: One custom register per instrument Alternative 2: Instrument Cannot access both Instrument 2 instruments at the same time

37 IEEE 687 Custom Register Bypass Instrument Instrument 2 Custom Register C C C 2 I I2

38 IEEE 687 Custom Register C C C 2 I I2 Access this instrument

39 IEEE 687 Custom Register C C C 2 I I2 This scan-path must be active

40 IEEE 687 Custom Register C C C 2 I I2

41 IEEE 687 Custom Register C C C 2 I I2 Train ride #

42 IEEE 687 Custom Register C C C 2 I I2 Train ride #

43 IEEE 687 Custom Register C C C 2 I I2 Train ride #2 Train ride #

44 IEEE 687 Custom Register C C C 2 I I2 Train ride #2 Train ride #

45 IEEE 687 Custom Register C C C 2 I I2 Train ride #3 Train ride #2 Train ride #

46 IEEE 687 Custom Register C C 2 C Each train ride is a Capture- Shi2- Update I I2 (CSU) opera7on Control data in always included Train ride #3 Train ride #2 Train ride #

47 IEEE 687 Custom Register C C 2 C 3 DFT C 4 C 5 C 6 Sensor Debug

48 IEEE 687 Introduced two languages: Instrument Connectivity Language (ICL) Procedural Description language (PDL) ICL PDL

49 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

50 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

51 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

52 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

53 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

54 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; iread DFT x8; iapply; Optimize: access to instrument C 4 C 5 C 6 DFT iread Sensor ; iapply; Sensor Debug

55 IEEE 687 Let s run the memory BIST

56 IEEE 687 Let s run the memory BIST Give the description of how instruments are connected (ICL) Give the description of operation to do on instruments (PDL)

57 IEEE 687 Let s run the memory BIST Give the description of how instruments are connected (ICL) Give the description of operation to do on instruments (PDL) Retarget Chiplevel format

58 IEEE 687 Let s run the memory BIST Give him the description of how instruments are connected (ICL) IC manufacturer: not sure I want to enable access, at least not to everything Retarget Give him the description of operation to do on instruments (PDL) Chiplevel format

59 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

60 IEEE 687 PDL ICL iwrite DFT x; iapply; C C 2 C 3 iwrite DFT xf; iwrite Sensor 8; iapply; DFT iread DFT x8; iapply; iread Sensor ; iapply; C 4 C 5 C 6 Sensor Debug

61 IEEE 687 ICL C C 2 C 3 DFT C 4 C 5 C 6 Sensor Debug

62 IEEE 687 ICL C C 2 C 3 DFT C 4 C 5 C 6 Sensor FF Sensor Debug

63 IEEE 687 ICL C C 2 C 3 DFT C 4 C 5 C 6 Sensor FF Sensor Debug

64 IEEE 687 ICL C C 2 C 3 IC manufacturer: acceptable (???) DFT C 4 C 5 C 6 Sensor FF Sensor Debug

65 IEEE 687 for Reliability (In-Situ) Let s run the memory BIST A fault is detected and corrected

66 Infrastructure for Fault Handling? Functional infrastructure No extra cost, as it already exists, but adding fault handling traffic can degrade performance, both the functional and the fault handling. Predictability might be damaged as it is difficult to know when faults occur. Stand-alone infrastructure Adds an extra cost, unless an existing infrastructure such as IEEE 687 is reused, but is IEEE 687 good enough in terms of time to:» Detect that faults have occurred» Localize where the faults are

67 MASTER CPU Fault handling TAP SIB Custom Register System-Level SIB SIB Component Type-Level DSP SIB SIB SIB Component-Level CPU CPU CPU M 2 M M

68 MASTER CPU Fault handling TAP SIB System-Level SIB SIB Component Type-Level DSP SIB SIB SIB Component-Level CPU CPU CPU M 2 M M

69 MASTER CPU Fault handling TAP SIB System-Level SIB SIB Component Type-Level DSP SIB SIB SIB Component-Level CPU CPU CPU M 2 M M

70 MASTER CPU Fault handling TAP SIB System-Level SIB SIB Component Type-Level DSP SIB SIB SIB Component-Level CPU CPU CPU M 2 M M

71 MASTER CPU Fault handling TAP SIB System-Level SIB SIB Component Type-Level DSP SIB SIB SIB Component-Level CPU CPU CPU M 2 M M

72 MASTER CPU Fault handling TAP SIB SIB System-Level SIB SIB M SIB Error propagation network Component Type-Level DSP M SIB SIB SIB SIB CPU CPU CPU M 2 M M M Component-Level

73 MASTER CPU Fault handling TAP SIB SIB System-Level SIB SIB M SIB Error propagation network Component Type-Level DSP M SIB SIB SIB SIB CPU CPU CPU M 2 M M M Component-Level

74 MASTER CPU Fault handling TAP SIB SIB System-Level SIB SIB M SIB Error propagation network Component Type-Level DSP M SIB SIB SIB SIB CPU CPU CPU M 2 M M M Component-Level

75 MASTER CPU Fault handling TAP SIB SIB System-Level SIB SIB M SIB Error propagation network Component Type-Level DSP M SIB SIB SIB SIB CPU CPU CPU M 2 M M M Component-Level

76 MASTER CPU Fault handling TAP SIB SIB System-Level Time for fault detection: Good SIB SIB M SIB Error propagation network Component Type-Level DSP SIB SIB SIB SIB M Time for fault localization: Not so good* *will be addressed at ETS 6 CPU CPU CPU M 2 M M M Component-Level

77 Is there a future for IEEE 687? Tool vendors Industrial papers/case studies A. L. Crouch, IJTAG: The Path to Organized Instrument Connectivity, in Proc. IEEE Int l Test Conf. (ITC), Oct. 27, pp.. J. Rearick and A. Volz, A Case Study of Using IEEE P687 (IJTAG) for High-Speed Serial I/O Characterization and Testing, in Proc. IEEE Int l Test Conf. (ITC), Oct. 26, pp. 8. J. Rearick et al., IJTAG (Internal JTAG): A Step Toward a DFT Standard, in Proc. IEEE Int l Test Conf. (ITC), 25. K.Posse et al., IEEEP687:Toward Standardized Access of Embedded Instrumentation, in Proc. IEEE Int l Test Conf. (ITC), 26, pp. 8.

78 Is there research on IEEE 687? Optimization of access F. G. Zadegan et al., Access Time Analysis for IEEE P687, IEEE Transactions on Computers, vol. 6, no., pp , Oct. 22. R. Baranowski, M. A. Kochte, and H.-J. Wunderlich, Scan Pattern Retargeting and Merging with Reduced Access Time, in Proceedings of IEEE European Test Symposium (ETS 3). IEEE Computer Society, 23, pp R.Cantoro, M. Montazeri, M.S.Reorda,F.G. Zadegan,and E.Larsson, On the Testability of IEEE 687 Networks, 25. [Online]. Available: pdf R. Krenz-Baath, F. Zadegan, and E. Larsson, Access time minimization in ieee 687 networks, in Test Conference (ITC), 25 IEEE International, Oct 25, pp.. Srinivasa Shashank NUTHAKKI, RAJIT KARMAKAR, Santanu CHATTOPADHYAY, Krishnendu CHAKRABARTY, Optimization of the IEEE 687 Access Network for Hybrid Access Schedules, VTS 5

79 IEEE 687 Custom Register C C C 2 I I2 Train ride #3 Train ride #2 Train ride #

80 Is there research on IEEE 687? Security T. Payakapan, S. Kan, K. Pham, K. Yang, J.-F. Cote, M. Keim, and J. Dworak, A case study: Leverage ieee 687 based method to automate modeling, verification, and test access for embedded instruments in a server processor, in Test Conference (ITC), 25 IEEE International, Oct 25, pp. J. Dworak, Z. Conroy, A. Crouch, and J. Potter, Board security enhance- ment using new locking sib-based architectures, in Test Conference (ITC), 24 IEEE International, Oct 24, pp.. J. Dworak and A. Crouch, A call to action: Securing ieee 687 and the need for an ieee test security standard, in VLSI Test Symposium (VTS), 25 IEEE 33rd, April 25, pp. 4. R. Baranowski, M. Kochte, and H.-J. Wunderlich, Securing access to reconfigurable scan networks, in Test Symposium (ATS), Asian, Nov 23, pp R. Baranowski, M. Kochte, and H.-J. Wunderlich, Fine-grained access management in reconfigurable scan networks, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 34, no. 6, pp , June 25.

81 Is there research on IEEE 687? In-situ access K. Petersen, D. Nikolov, U. Ingelsson, G. Carlsson, F. Zadegan, and E. Larsson, Fault injection and fault handling: An mpsoc demonstrator using ieee p687, in On-Line Testing Symposium (IOLTS), 24 IEEE 2th International, July 24, pp A. Jutman, S. Devadze, and K. Shibin, Effective Scalable IEEE 687 Instrumentation Network for Fault Management, IEEE Design & Test, vol. 3, no. 5, pp , Oct 23. K. Shibin, S. Devadze, and A. Jutman, Asynchronous Fault Detection in IEEE P687 Instrument Network, in IEEE 23rd North Atlantic Test Workshop (NATW), May 24, pp F. Ghani Zadegan, D. Nikolov, and E. Larsson, A self-reconfiguring ieee 687 network for fault monitoring, in Test Symposiutm (ETS), 26 IEEE European, May 26, pp. 6.

82 Conclusions Transistors increase in number and are becoming smaller, which leads to many possible defects and new defect types (ageing) will develop, more embedded instrumentation is needed. With PDL and ICL IEEE 687 becomes an attractive way to handle and connect embedded instruments used for manufacturing test purposes and in-situ reliability purposes

83

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab

Fault management in an IEEE P1687 (IJTAG) environment. Erik Larsson and Konstantin Shibin Lund University Testonica Lab Fault management in an IEEE P1687 (IJTAG) environment Erik Larsson and Konstantin Shibin Lund University Testonica Lab otivation Semiconductor technology development enables design and manufacturing of

More information

Ghani Zadegan, Farrokh; Larsson, Erik; Jutman, Artur; Devadze, Sergei; Krenz-Baath, René

Ghani Zadegan, Farrokh; Larsson, Erik; Jutman, Artur; Devadze, Sergei; Krenz-Baath, René Design, Verification and Application of IEEE 1687 Ghani Zadegan, Farrokh; Larsson, Erik; Jutman, Artur; Devadze, Sergei; Krenz-Baath, René Published in: [Host publication title missing] DOI: 10.1109/ATS.2014.28

More information

Securing IEEE Standard Instrumentation Access by LFSR Key

Securing IEEE Standard Instrumentation Access by LFSR Key 2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Securing IEEE 1687-2014 Standard Instrumentation Access by LFSR Key Hejia Liu* and Vishwani D. Agrawal Auburn University, ECE Dept., Auburn,

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

IJTAG Compatibility with Legacy Designs - No Hardware Changes

IJTAG Compatibility with Legacy Designs - No Hardware Changes IJTAG Compatibility with Legacy Designs - No Hardware Changes By: Al Crouch, Jim Johnson, Bill Atwell Overview By now you have heard the buzz in our industry about the new IJTAG standards (IEEE 1687 and

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial)

New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) New and Emerging JTAG Standards: Changing the Paradigm of Board Test (A tutorial) Artur Jutman November 23 th, 2010 Drammen, NORWAY Presentation Outline Introduction Overview of the standards IEEE 1149.7

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Wednesday 3/12/14 10:30am

Wednesday 3/12/14 10:30am Wednesday 3/12/14 10:30am FEEL THE BUN-IN Burn-in is used to ensure a device's reliability and lifetime. The two papers in this final session look at parallel burn-in methods. The first presents an overview

More information

P High Speed JTAG debug using a fire hose rather than a straw

P High Speed JTAG debug using a fire hose rather than a straw P1149.10 High Speed JTAG debug using a fire hose rather than a straw CJ Clark, Intellitech CEO Chair, P1149.10 Past Chair, IEEE 1149.1 2013 1 Some basics using 1149.1 2013 What's coming P1149.10 High Speed

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ

Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Testing TAPed Cores and Wrapped Cores With The Same Test Access Mechanism Λ Mounir Benabdenbi y Walid Maroufi z Meryem Marzouki LIP6 Laboratory Couloir 55-65, 4 Place Jussieu, 75252 Paris Cedex 5, France

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

IEEE JTAG Boundary Scan Standard

IEEE JTAG Boundary Scan Standard IEEE 1149.1 JTAG Boundary Scan Standard Bed-of-nails tester Motivation System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Example *Joint

More information

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation Using Mentor Questa for Pre-silicon Validation of IEEE 1149.1-2013 based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation INTRODUCTION IEEE 1149.1-2013 is not your father s JTAG.

More information

microsparc-iiep TM Introduction to JTAG Boundary Scan

microsparc-iiep TM Introduction to JTAG Boundary Scan microsparc-iiep TM Introduction to JTAG Boundary Scan White Paper Introduction Historically, most Print Circuit Board (PCB) testing was done using bed-of-nail in-circuit test equipment. Recent advances

More information

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html ECE 538 VLSI System Testing Krish Chakrabarty Lecture 1: Overview Krish Chakrabarty 1 Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html VLSI realization process Verification

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

A Research Paper on Designing a TAP(Test Access Port)

A Research Paper on Designing a TAP(Test Access Port) A Research Paper on Designing a TAP(Test Access Port) 1 Mr. VISHWAS K. CHAUDHARY, 2 Mr. MANISH J. PATEL 1, 2 P. G. Students in M.E.(VLSI & ESD) Gujarat Technological University & Seer-Akademi Ahmedabad,

More information

Boundary Scan. Sungho Kang. Yonsei University

Boundary Scan. Sungho Kang. Yonsei University Boundary Scan Sungho Kang Yonsei University Outiline Introduction TAP Controller Instruction Register Test Data Registers Instructions Hardware Test Innovations PCB Test Conclusion 2 Boundary Scan Improve

More information

IJTAG (Internal JTAG): A Step Toward a DFT Standard

IJTAG (Internal JTAG): A Step Toward a DFT Standard IJTAG (Internal JTAG): A Step Toward a DFT Standard Jeff Rearick, Al Crouch, Ken Posse, Ben Bennets, Bill Eklow This paper is to appear at: 2005 International Test Conference Purpose Provide background

More information

A Test Integration Methodology for 3D Integrated Circuits

A Test Integration Methodology for 3D Integrated Circuits 2 9th IEEE Asian Test Symposium A Test Integration Methodology for 3D Integrated Circuits Che-Wei Chou and Jin-Fu Li Department of Electrical Engineering National Central University Jhongli, Taiwan 32

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

SoC Design Flow & Tools: SoC Testing

SoC Design Flow & Tools: SoC Testing SoC Design Flow & Tools: SoC Testing Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University Outline l SoC Test Challenges l Test Access

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

IEEE P1500, a Standard for System on Chip DFT

IEEE P1500, a Standard for System on Chip DFT page 1(6) IEEE P1500, a Standard for System on Chip DFT Kim Petersén HDC, Hardware Design Center 723 50 Västerås Sweden Email: kim.petersen@hdc.se key words: IP, DFT, SoC, BIST, BISR ABSTRACT This document

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

SiP/3D Device Test Challenges using Ever Changing JTAG Standards

SiP/3D Device Test Challenges using Ever Changing JTAG Standards SiP/3D Device Test Challenges using Ever Changing JTAG Standards ( 끝없이변하는 JTAG 표준과이를이용한 SiP/3D 소자테스트의도전 ) Sung Chung, Research Professor Page 1 2013 Hanyang University ERICA, All rights reserved Presentation

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Boundary-scan test for structural fault detection

Boundary-scan test for structural fault detection Boundary-scan test for structural fault detection J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 351 225 81 889 / Fax: 351 225 81 443 [ jmf@fe.up.pt ] Tallinn Technical

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Embedded Core Testing (ΙΕΕΕ SECT std) 2 CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina Embedded Testing (ΙΕΕΕ 1500 Std. SECT) Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Elektroonikaproduktide Testimine (P6)

Elektroonikaproduktide Testimine (P6) CEBE seminar Jäneda, June 17, 2013 Elektroonikaproduktide Testimine (P6) Tallinn University of Technology Dept. of Computer Engineering Estonia Artur Jutman Presentation Outline No Trouble Found & Embedded

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Making it Harder to Unlock an LSIB: Honeytraps and Misdirection in a P1687 Network

Making it Harder to Unlock an LSIB: Honeytraps and Misdirection in a P1687 Network Making it Harder to Unlock an LSIB: Honeytraps and Misdirection in a P1687 Network Adam Zygmontowicz and Jennifer Dworak Department of Computer Science & Engineering Southern Methodist University Dallas,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INTEGRATED CIRCUIT DESIGN TECHNIQUES University of Ioannina VLSI Testing Dept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit Design Techniques Overview 1. VLSI testing

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Don t Forget to Lock your SIB: Hiding Instruments using P1687 1

Don t Forget to Lock your SIB: Hiding Instruments using P1687 1 Don t Forget to Lock your SIB: Hiding Instruments using P687 Jennifer Dworak *, Al Crouch +, John Potter +, Adam Zygmontowicz *, and Micah Thornton * * Southern Methodist University, Dallas, Texas, USA

More information

Betrouwbare Elektronica ontwerpen en Produceren

Betrouwbare Elektronica ontwerpen en Produceren Betrouwbare Elektronica ontwerpen en Produceren Verbeter betrouwbaarheid, time to market en winstgevendheid met boundary scan JTAG Technologies B.V. Rik Doorneweert rik@jtag.com Boundary scan Testing HW

More information

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Hongxia Fang 1, Zhiyuan Wang 2, Xinli Gu 2 and Krishnendu Chakrabarty 1 1 ECE Dept., Duke University, Durham, NC,

More information

myproject - P PAR Detail

myproject - P PAR Detail myproject - P1149.1 PAR Detail Submitter Email: cjclark@intellitech.com Type of Project: Revision to IEEE Standard PAR Request Date: 24-May-2008 PAR Approval Date: 26-Sep-2008 PAR Expiration Date: 31-Dec-2012

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information

Nur A. Touba. Professor Department of Electrical and Computer Engineering The University of Texas at Austin

Nur A. Touba. Professor Department of Electrical and Computer Engineering The University of Texas at Austin Nur A. Touba Professor Department of Electrical and Computer Engineering The University of Texas at Austin Education: B.S. Electrical Engineering, University of Minnesota, 9/86-6/90 M.S. Electrical Engineering,

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

Statement of Work (SOW) Test TIG Built-In Self-Test (BIST) Project, Phase 3 Short-Term and Long-Term Strategies for Use Case Standardization

Statement of Work (SOW) Test TIG Built-In Self-Test (BIST) Project, Phase 3 Short-Term and Long-Term Strategies for Use Case Standardization Statement of Work (SOW) Test TIG Built-In Self-Test (BIST) Project, Phase 3 Short-Term and Long-Term Strategies for Use Case Standardization Version 2.0 Date: July 17, 2012 Project Leader: Zoë Conroy,

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

IEEE Std : What? Why? Where?

IEEE Std : What? Why? Where? Proceedings of DCIS 2012: xxvii th conference on design of circuits and integrated systems IEEE Std 1149.7: What? Why? Where? Francisco R. Fernandes 1, Ricardo J. S. Machado 1, José M. M. Ferreira 1,2,

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 12: Non-invasive attacks

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 12: Non-invasive attacks CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 12: Non-invasive attacks Memory technologies Quiz Attack types Non-invasive Any attack which does not damage the package Non-invasive attacks Program/debug

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture

An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture Elaheh Sadredini,, Reza Rahimi, Paniz Foroutan, Mahmood Fathy and Zainalabedin Navabi el_sadredini@comp.iust.ac.ir, g_rahimi@ce.sharif.edu,

More information

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE

WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE WEB-BASED APPLET FOR TEACHING BOUNDARY SCAN STANDARD IEEE 1149.1 A. JUTMAN, A. SUDNITSON, R. UBAR TALLINN TECHNICAL UNIVERSITY, ESTONIA KEYWORDS: Web-Based Teaching, Boundary Scan, Java Applet ABSTRACT:

More information

IEEE P1500 Core Test Standardization

IEEE P1500 Core Test Standardization Technical Proposals for IEEE P1500 Core Test Standardization Erik Jan Marinissen Research Laboratories Eindhoven, The Netherlands P1500 Meeting ITC Test Week, Washington D.C., November, 1997 Technical

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies

BOUNDARY-SCAN: AN INTRODUCTION. by James Stanbridge, Sales Manager of JTAG Technologies BOUNDARY-SCAN: AN INTRODUCTION by James Stanbridge, Sales Manager of JTAG Technologies Once considered to be something of a black art, and solely an aid to manufacturing, boundary-scan is coming of age

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

What Comes Next? Reconfigurable Nanoelectronics and Defect Tolerance. Technology Shifts. Size Matters. Ops/sec/$

What Comes Next? Reconfigurable Nanoelectronics and Defect Tolerance. Technology Shifts. Size Matters. Ops/sec/$ Reconfigurable Nanoelectronics and Defect Tolerance Seth Copen Goldstein Carnegie Mellon University seth@cs.cmu.edu HLDVT 11/13/03 HLDVT '03 (11/13/03) 2003 Seth Copen Goldstein 1 1.E+11 1.E+10 1.E+09

More information