Self Test Built-in Plan for Data-Path Functional Units

Size: px
Start display at page:

Download "Self Test Built-in Plan for Data-Path Functional Units"

Transcription

1 Self Test Built-in Plan for Data-Path Functional Units J. A. Quilici Gonzalez*, José Roberto de A. Amazonas**, Marius Strum***, Wang Jiang Chau**** Laboratório de Microeletrônica da EPUSP Av. Luciano Gualberto Travessa 3, no São Paulo SP -Brazil * gonzalez@lme.usp.br, *** strum@lme.usp.br, **** jcwang@lme.usp.br Fax: () Lab. de Comunicações e Sinais da EPUSP Av. Luciano Gualberto Travessa 3, no São Paulo SP Brazil ** jra@lcs.poli.usp.br Fax: () Abstract Given an architecture generated by a High Level Synthesis (HLS) System, the Built-in Self-Test (BIST) strategy consists in inserting Test Pattern Generators (TPGs) and Signature Analyzers (SAs) which will test the hardware blocks as the Functional Units (FUs), for instance. When transforming Data-Path registers in TPGs or SAs, a trade-off must be made on a series of parameters as area overhead, test time and fault coverage. This paper presents an efficient procedure to minimize both testability costs and test application time for the test of FUs, by means of multiple concurrent test sessions and registers sharing. The scheme is based on pre-computed test data, which are stored in the FU library. Results on benchmarks are presented and commented. INTRODUCTION The technological development in recent times has stimulated the production of highly complex and large chips forcing designers to incorporate testability at early stages of the project as one of its key features. The growing interest in high-level synthesis has produced high-level built-in self-test (BIST) synthesis systems such as SYNTEST, RALLOC and SYNCBIST [], whose outcomes are highly testable circuits. Even in such cases, not to mention those circuits synthesized without considerations for testability, the problem of deciding where to insert test registers or which registers must be transformed into test registers is a challenging task. We present a scheme aimed at solving the above problem in respect to the test of FUs in Data-Paths. This work is part of an ongoing research consisting of the development of tools to automatically generate BIST testable circuits along with complete optimized test plans for architectures synthesized by a High-Level Synthesis System. This environment [2] incorporates the concepts proposed by [3, 4, 5, 6 and 7] in what concerns the synthesis of structural testable circuits and minimization of test sessions, and it also extends the BIST strategy by: (i) Optimizing the random test vector generation[8]; (ii) Performing the test of FUs, connections and registers; (iii) Introducing cost functions that allow evaluating the quality of a serial, parallel or mixed test scheme. More specifically, the scheme is of structural offline BIST type and makes use of stored information about pre-tested FUs making it possible to know in advance the number of test vectors necessary to reach the desired fault coverage. This paper presents a procedure to optimize the parallel test plan for all FUs existing in a Data-Path, i.e., to define the set of test registers, assign the FUs to be tested concurrently and the order in which they are tested based in cost considerations. Besides that, we perform an analysis on the results, which showed to be good when applied to most of the benchmarks, confirming the manual simulation obtained in [2 and 9]. 2 RELATED WORKS In a related work[], the authors created a Microarchitectural Synthesis System for Rapid BIST

2 Testing, which addresses appropriately the problem of BIST test time by targeting test concurrency during highlevel and structural synthesis. As an upper bound on the achievable concurrency of the design, it is assumed that all registers have the option to act as CBILBO, which achieves high-test concurrency. In order to reduce area overhead with minimum concurrent testability impact, a substitution process is performed by gradually limiting registers to act only as an Signature Analyzer (SA) or Test Pattern Generator (TPG) instead of CBILBOs. Metrics for concurrent testability estimation was defined in order to drive the design of concurrent test during both behavioral and structural synthesis. The test plan is obtained at the end of the high level synthesis process and, therefore, if the final solution does not satisfy the demands, it is difficult to implement changes in the self-testable RT structure, since modifications must be introduced in the initial behavioral description of the circuit. In another work[], the authors proposed a Hardware-Optimal Test Register Insertion in a circuit considered at gate level, represented by a directed graph G with every cycle included in a strongly connected component (SCC) of G. Having assumed that a CBILBO cell is up to 3.5 times more expensive than a BILBO cell, they obtained results showing that BIST structures with minimum hardware overhead of a CBILBO based approach is still smaller than a BILBO solution, on the assumption that a minimum cost placement for G consists of minimum cost placements for all the SCCs of G. Differently, in our work, the test unit in the directed graph is always a node representing a FU with separate input and output registers, which can be shared with others FUs. Therefore, the use of CBILBOs was discarded and a minimum cost placement must be considered globally. 3 THE BIST STRATEGY In this work, we adopt the strategy of considering Test Register Selection following the High Level Synthesis (HLS), in a separate step, so that one has the option to interfere in only one sphere or in both. In particular, self-loops can be eliminated at the structural level, adding new registers or making new connections to existing ones by means of test muxes. An important feature of our scheme is the option the user has to define the amount of concurrency desired, to cope with the limits of power dissipation in test mode. By offering the means to adjust the amount of subcircuits tested simultaneously one will also be able to evaluate the hardware overhead caused by the test circuitry. The test registers are defined at register transfer level (RTL) in the Data-Path generated or not by a HLS. The circuit is divided into segments with sequential depth equal to one, i.e., each FU must have at least one register for each of its inputs and one for its output. Following this, the test paths also present the same sequential depth, each FU with at least one possible TPG and one SA. The test patterns are generated pseudo-randomly. For each type of FU there is a set of previously gathered test data which are stored in a library. The data reveal the fault coverage obtained by the application of a certain number of test vectors, generated by TPGs and SAs implemented as Cellular Automata (CA) under rule 9 or 5, with an area overhead equally known. One of these libraries, based on single stuck-at fault model, might be as Table, where v CTIME i = i n 2 Table : Functional Units Library FU name TPG Number of Test Vectors Fault Coverage C TIME [9] TPG x -3 TPG x -3 FU 2 TPG x -3 TPG x -3 FU 3 TPG x -3 TPG x -3 FU 4 TPG x -3 TPG x -3 FU 5 TPG x -3 TPG x -3 v i number of test vectors; FC Fault Coverage; n represents the total number of input bits (here, n=6); 4 THE ALGORITHM FC Our algorithm takes as input a netlist at register transfer level (RTL) and proceeds with a Testability Analysis. Once the circuit is ready to receive a BIST circuitry, the program decides which registers might be transformed into a TPG, SA or BILBO. The main steps of our algorithm are: i. Preprocessing, making the circuit suitable to BIST rules; 2. Build a Pair Compatibility Graph of the FUs; 3. Build the Test Status Matrix and call the Cost Function; 4. Apply Minimal Register Allocation Procedure.

3 Step : it includes setting up the databases for TPG/SA and FU libraries analysis, defining the preferential rules for CAs and verifying the necessity to insert multiplexers if some FU is not testable. Fig. shows two ways to turn a non-testable FU into testable, without using CBILBO. Solution : new connection to any of the existing registers; Solution 2: new register. In any case, it is necessary a new mux. 2 modified list scheduling approach [2]. Our algorithm is a constructive one in the sense that it begins with a partial solution, then adds another FU to the existing core, forming a sort of Test Status Matrix, where the number of vertical lines represent the maximum allowed number of parallel test sessions and the horizontal lines define the boundaries of test states. The way resourcesharing conflicts are dealt with can be better understood by means of the Cost Function, shown below: F_COST = COST_HW G_TIME G_ASS. COST_HW takes into account the area changes due to register transformation or register sharing (when two or more FUs are tested under the same CA rule). Fig. : (a) Non-testable FU (b) Testable FU Step 2: it consists of building a compatibility graph, in which each node represents a FU and there is an edge between two nodes whenever the units are test compatible. From Fig. 2 it is possible to know that no more than 3 FUs can be scheduled in parallel, for only 2 FUs are compatible with other 3. In order to reach a maximum of 4 FUs being tested simultaneously, it would be necessary at least 4 FUs which were compatible with other 3. The edge between nodes FU 2 and FU 3 reflects the fact that their compatibility is not complete, i.e., they must share the same TPG, whereas edge between nodes FU 2 and FU 4 means one of them could be tested under rule 9 while the other could be under rule 5. FU 5 FU 4 FU 3 FU 2 Fig.2: Compatibility graph for Data-Path of Fig. 3 Step 3: it refers to Test Session Scheduling. Instead of the traditional greedy approach, we adopted a A_ TPG t ( l + r) A_ TPG COST _ HW = N A_TPG represents area increase due to transformation of three simple registers into test registers, A_TPG, area reduction due to register sharing at left or right side, t assumes values or, depending whether the sharing registers have the same rule or not and N is the total number of registers in the circuit. G_TIME corresponds to the gain resulting from a schedule that may extend or not the overall test duration, compared to the test session if scheduled in series. C _ t _ core + C _ t _ FU _ ref C _ t _ L G _ TIME = C _ t _ ref C_t_core expresses the test time at that stage of scheduling of the most suitable test column found, C_t_FU_ref, the test time of the FU being scheduled, C_t_L, the longest test column and C_t_ref, the test time of the FU with the longest test session, taken as reference. G_ASS takes into account the impact of test sessions with different length, when scheduled in parallel on the nonscheduled tests. G _ ASS = st C _ time( st) Min( Comp _ FU ( st), tr _ left) C _ t _ ref Max _ parallel( st) C_time is the time span of each test state, Comp_FU and tr_left reflects the number of FUs or tracks available at that stage, and Max_parallel is the parallelism left. Based on F_COST the search for the best position of each FU in the Test Status Matrix is carried out. Once a position is found, all registers candidates to be transformed in dual-mode test registers are classified either as TPG9, TPG5 or SA9 for each test status. When a FU have only one option to SA (TPG), this register is excluded from the TPG (SA) candidates list in

4 an iterative way. All possible combinations are considered taking into account the number of independent output path of the current FU. Once all FUs tests are scheduled in the Test Status Matrix, the forth step is implemented. Step 4: those FUs with possibility of sharing the same CAs (not necessarily simultaneously) are submitted to a Minimal Register Allocation Procedure to optimize the global resource sharing for testability, based on a Hardware Cost Function, whose values are taken from a TPG/SA Library, like the one shown in Table 2: TPG/SA name Table 2: TPG/SA Library Rule(s) Number of Transistors Relative Cost Area (µm 2 ) Relative Cost 2 Normal none x 38.. TPG x TPG x 8..8 TPG9/5 9 and x SA x SA x SA/TPG x SA/TPG x SA/TPG9/ TPG5 9 and x The number of transistors and area of each type of register in Table 2 were derived considering the.7µm CMOS technology from ES2. 5 EXPERIMENTAL RESULTS The algorithm was implemented in C++ language and applied to some benchmarks such as DiffEq and Tseng circuits. Since the real FU library is not available yet, we tested the algorithm using the data from Table. Analyzing the results for different number of concurrent test sessions, it can be noted that the determination of the level of parallelism is conditioned by the trade-off between acceptable hardware overhead and test time duration. In some situations it is possible to optimize one with small or no penalty to the other. For the example circuit shown in Fig. 3, the test of all 5 FUs could be initially scheduled in a serial way, with a minimal hardware cost (HC) or a minimal time cost (TC) (Table 3): Table 3: Initial Schedule (Serial) for Arch Serial Test Plan Optimization Minimal Hardware Cost (TC:69; HC:4.48) Minimal Time Cost (TC:6; HC:6.59) Values of HC based on Relative Cost 2 of Table 2 FU-TPG9; FU2-TPG9; FU3-TPG9; FU4-TPG9; FU5-TPG9; FU-TPG9; FU2-TPG5; FU3-TPG9; FU4-TPG5; FU5-TPG9; In order to improve the time cost, two possible solutions are presented. Fig. 4 shows that a reduction of 48% in its time cost is obtained with an increase of 52% in its hardware cost, because more registers must be transformed in CAs Fig. 4: Intermediate Solution Fig. 5 shows that it is still possible to improve time cost (57%) and mitigate hardware cost (46%) with increased parallelism FU 4 FU 5 FU 3 FU FU 4 FU 3 FU 2 FU 5 State State 4 State 5 State : FU 2: FU 3: FU 4: FU 5: : FU 2 : FU 3 : FU 4 : FU 5 : R 3 R 2 R 4 R R 5 R 3 R 5 R R 7 R 4 R 7 R 5 R 2 R 6 R Fig. 5: Solution with Maximum Parallelism R 3 R 2 R 5 R R 6 R 3 R 7 R R 2 R 4 R 9 R 5 R 2 R 6 R R 3 R 2 R R 5 R 7 R 8 R 4 FU2 FU3 FU4 R 2 R 4 R 5 R 9 FU5 R 3 R 7 R R 6 Tseng benchmark (Fig. 6) was synthesized by the High Level Synthesis System MACH[3]. Taken the serial schedule as reference, there is a reduction of 48% in time and increase of 28 % in hardware cost when pairs of FUs are tested simultaneously (Fig. 7). In this first version, testability was considered during HLS, i.e., testability was one of the design constraints. Fig.3: Example circuit with 5 FUs (Arch )

5 2 FU 2 FU 5 8 State : FU 2: R 3 R 2 R 5 R 3 R 3 R 4 R 3 R 2 R 5 R 4 R 4 FU 5: R 5 R 2 R FU 6 FU 6: R R 4 R 2 POR 4 28 FU 2 POR 3 FU 5 POR 2 FU 6 State 4 36 R 3 R 2 R 4 R R FU 5 FU 6 FU 2 Fig. 6: Tseng_t benchmark State State 4 Fig. 7: Solution with Maximum Parallelism FU 5 and FU 6 are not compatible because R 2 must be used as TPG for FU 5 and as SA for FU 6. For that reason, the scheduling of FU 6 can not overlap that of FU 5. In the next version of the Tseng benchmark, testability improvement of the Data-Path was not taken into consideration during the HLS, producing a structure whose testability costs are higher, as shown in Fig. 8 and Fig. 9. : FU 2: FU 5: FU 6: R R 2 R 3 R 3 R 3 R 4 R 2 R 5 R 4 R R R 2 Fig. 9: Solution with Maximum Parallelism Other examples synthesized by the High Level Synthesis System MACH were submitted to our algorithm and produced results summed up in Table 4. Table 4: Examples and Rules Example # FUs # Original Parallel Test Plan Optimization Registers Rule / # FUs Arch / 3 9* / 5* / Tseng_t / 2 9* / 2 DiffEq 4 9 / 2 9* / 2 ArFil_t 3 9 / 9* / 5 / * Indicates non-preferential rule It is assumed that Tseng_t and ArFil_t benchmarks use FUs similar to FU 2, FU 3 or FU 4. Table 5: Results in Area and Timing for Serial and Parallel Optimization Example Time (# vectors) Serial Test Plan Optimization Hardware (cost over # registers) #TPG, #SA, #TPG/SA Parallel Test Plan Optimization Time (# vectors) Hardware (cost over # registers) #TPG, #SA, #TPG/SA Arch ;; ;;3 Tseng_t ;; ;;2 DiffEq ;; ;3; ArFil_t ;3; ;3; 6 CONCLUSION R 3 R 4 R 3 R 5 R 2 R POR 4 FU 2 POR 3 FU 5 POR 2 FU 6 R 5 R 4 R 2 R Fig. 8: Tseng_n benchmark The Parallel Test Plans show that the test time length can almost always be greatly cut making the offline BIST more attractive for critical situations. Given a benchmark, our program is able to find a solution only if the testability criterion established is satisfied. When the problem is a simple self-loop, our program is able to cope with it but in other situations it may only produce a serial solution without changing the architecture. We are now creating new examples, with 5 or 2 FUs to gather information about independent paths to SAs that permits finding one of the good parallel schedules without having to generate intermediate solutions between the serial and maximal parallel number of FUs.

6 REFERENCES [] M. T-C Lee. High-Level Test Synthesis of Digital VLSI Circuits. Norwood, MA, Artech House, 997. [2] J. R. Amazonas, M. Strum, J. V. do V. Neto, W. J. Chau, B. Rouzeyre and M-L Flottes. Data Path Functional Units BIST Plan Optimization: A Study Case in Proc. Of SBCCI 97, p , 997. [3] H. Hermanani and C. A. Papachristou. An Improved Method for RTL Synthesis with Testability Tradeoffs in IEEE ICCAD, p.3-35, 993. [4] M. Vahidi and A. Orailoglu. Testability Metrics of Self- Testable Designs and Effective Test Plans in IEEE Vehicular Technology Symposium, p.7-75, 995. [5] V. C. Alves and M. Marzouki. Requirements and General Framework for an Efficient Synthesis for Testability Methodology in XI Conference of the Brazilian Microelectronics society, p.35-4, 996. [6] A. A. Ismael, R. Mathew and T. John. Structurally Self- Testable Data Path Synthesis of Application Specific Integrated Circuits in Computer Electronics Engineering, Vol. 22, No. 4, p , 996. [7] F. F. Hsu, E. M. Rudnick and J. H. Patel. Enhancing High-Level Control-Flow for Improved Testability in IEEE ICCAD, p , 996. [8] P. S. Cardoso, M. Strum, W. J. Chau. "A Methodology for Minimum Area Cellular Automata Generation" in Annals of 7th Asian Test Symposium, Singapore, p , December 998. [9] J. R. Amazonas, M. Strum and W. J. Chau. Exploring Concurrency in Data Path Functional Units BIST Plan Optimization: A Study-Case in Proc. of the SBCCI98, p , 998. [] A. Orailoglu and I. G. Harris. Microarchitectural Synthesis for Rapid BIST Testing in IEEE Trans. On Comp.-Aided Design of Int. Circ. And Syst., Vol. 6, No. 6, p , June 997. [] A. P. Stroele and H.-J. Wunderlich. Hardware-Optimal Test Register Insertion in IEEE Trans. On Comp.-Aided Design of Int. Circ. And Syst., Vol. 7, No. 6, p , June 998. [2] D. D. Gajski, N. D. Dutt, Allen C-H. Wu, S. Y-L Lin. High-Level Synthesis: Chip and System Design. Norwell, MA, Kluwer Academic Publishers, 992. [3] D. Dupont. Synthèse d Architecture de Circuits Intégrés, Ordonnancement et Partitionnement dans MACH. PhD Thesis at LIRMM, Université de Montpellier II, 994.

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE 122 Advances in Microelectronics 7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPETIVE hia Yee Ooi Norlina Paraman 7.1 ONTEXT The advantage of a top-down design flow, specifying design a high abstraction

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Algorithm for Determining Most Qualified Nodes for Improvement in Testability

Algorithm for Determining Most Qualified Nodes for Improvement in Testability ISSN:2229-6093 Algorithm for Determining Most Qualified Nodes for Improvement in Testability Rupali Aher, Sejal Badgujar, Swarada Deodhar and P.V. Sriniwas Shastry, Department of Electronics and Telecommunication,

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Concurrent BIST Synthesis and Test Scheduling Using Genetic Algorithms

Concurrent BIST Synthesis and Test Scheduling Using Genetic Algorithms International Journal of Computers and Applications ISSN: 1206-212X (Print) 1925-7074 (Online) Journal homepage: http://www.tandfonline.com/loi/tjca20 Concurrent BIST Synthesis and Test Scheduling Using

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Datapath Allocation. Zoltan Baruch. Computer Science Department, Technical University of Cluj-Napoca

Datapath Allocation. Zoltan Baruch. Computer Science Department, Technical University of Cluj-Napoca Datapath Allocation Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca e-mail: baruch@utcluj.ro Abstract. The datapath allocation is one of the basic operations executed in

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Coordinated Resource Optimization in Behavioral Synthesis

Coordinated Resource Optimization in Behavioral Synthesis Coordinated Resource Optimization in Behavioral Synthesis Jason Cong Bin Liu Junjuan Xu Computer Science Department, University of California, Los Angeles Email: {cong, bliu, irene.xu}@cs.ucla.edu Abstract

More information

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST Nur A. Touba* and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science Stanford University

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Unit 2: High-Level Synthesis

Unit 2: High-Level Synthesis Course contents Unit 2: High-Level Synthesis Hardware modeling Data flow Scheduling/allocation/assignment Reading Chapter 11 Unit 2 1 High-Level Synthesis (HLS) Hardware-description language (HDL) synthesis

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Mahsa Vahidi and Alex Orailoglu. La Jolla CA of alternatives needs to be explored to obtain the

Mahsa Vahidi and Alex Orailoglu. La Jolla CA of alternatives needs to be explored to obtain the Metric-Based Transformations for Self Testable VLSI Designs with High Test Concurrency Mahsa Vahidi and Alex Orailoglu Department of Computer Science and Engineering University of California, San Diego

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Improving Logic Obfuscation via Logic Cone Analysis

Improving Logic Obfuscation via Logic Cone Analysis Improving Logic Obfuscation via Logic Cone Analysis Yu-Wei Lee and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712 ywlee@utexas.edu, touba@utexas.edu Abstract -

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

High-Level Test Synthesis. Tianruo Yang and Zebo Peng. Department of Computer and Information Science

High-Level Test Synthesis. Tianruo Yang and Zebo Peng. Department of Computer and Information Science An Ecient Algorithm to Integrate Scheduling and Allocation in High-Level Synthesis Tianruo Yang and Zebo Peng Department of Computer and Information Science Linkoping University, S-581 83, Linkoping, Sweden

More information

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION

HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION HIGH-LEVEL AND HIERARCHICAL TEST SEQUENCE GENERATION Gert Jervan, Zebo Peng Linköping University Embedded Systems Laboratory Linköping, Sweden Olga Goloubeva, Matteo Sonza Reorda, Massimo Violante Politecnico

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 9, SEPTEMBER

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 9, SEPTEMBER IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 9, SEPTEMBER 1999 1327 Testability Analysis and Test-Point Insertion in RTL VHDL Specifications for Scan-Based

More information

An Integrated System-Level Design for Testability Methodology

An Integrated System-Level Design for Testability Methodology Linköping Studies in Science and Technology Dissertation No. 660 An Integrated System-Level Design for Testability Methodology by Erik Larsson Department of Computer and Information Science Linköpings

More information

A Reliable Architecture for Substitution Boxes in Integrated Cryptographic Devices

A Reliable Architecture for Substitution Boxes in Integrated Cryptographic Devices Author manuscript, published in "DCIS'08: Conference on Design of Circuits and Integrated Systems, (2008)" A Reliable Architecture for Substitution Boxes in Integrated Cryptographic Devices G. Di Natale,

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation Introduction to Electronic Design Automation Model of Computation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 03 Model of Computation In system design,

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Automatic Test Program Generation from RT-level microprocessor descriptions

Automatic Test Program Generation from RT-level microprocessor descriptions Automatic Test Program Generation from RT-level microprocessor descriptions F. Corno, G. Cumani, M. Sonza Reorda, G. Squillero Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

System Level Design, a VHDL Based Approach.

System Level Design, a VHDL Based Approach. System Level Design, a VHDL Based Approach. Joris van den Hurk and Edwin Dilling Product Concept and Application Laboratory Eindhoven (PCALE) Philips Semiconductors, The Netherlands Abstract A hierarchical

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

Testing Configurable LUT-Based FPGAs

Testing Configurable LUT-Based FPGAs JOURNAL OF INFORMATION SCIENCE AND ENGINEERING FPGA TESTING16, 733-750 (2000) 733 Testing Configurable LUT-Based FPGAs Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Circuit Partitioning for Application-Dependent FPGA Testing

Circuit Partitioning for Application-Dependent FPGA Testing Circuit Partitioning for Application-Dependent FPGA Testing by Rui Zhen Feng B.Eng, Hefei University of Technology, 1996 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS. E. BAREISA KTU, Studentu , Kaunas, Lithuania

THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS. E. BAREISA KTU, Studentu , Kaunas, Lithuania THE EXHAUSTIVE TESTING OF FPGA LOGIC CELLS E. BAREISA KTU, Studentu 50-406, Kaunas, Lithuania eduardas.bareisa@ktu.lt V. JUSAS KTU, Studentu 50-404, Kaunas, Lithuania vacius.jusas@ktu.lt K. MOTIEJUNAS

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

On-Line Self-Test of AES Hardware Implementations

On-Line Self-Test of AES Hardware Implementations On-Line Self-Test of AES Hardware Implementations G. Di Natale, M. L. Flottes, B. Rouzeyre Laboratoire d Informatique, de Robotique et de Microélectronique de Montpellier Université Montpellier II / CNRS

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Detecting and Correcting the Multiple Errors in Video Coding System

Detecting and Correcting the Multiple Errors in Video Coding System International Journal of Research Studies in Science, Engineering and Technology Volume 2, Issue 8, August 2015, PP 99-106 ISSN 2349-4751 (Print) & ISSN 2349-476X (Online) Detecting and Correcting the

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Detecting and Correcting the Multiple Errors in Video Coding System

Detecting and Correcting the Multiple Errors in Video Coding System International Journal of Emerging Engineering Research and Technology Volume 3, Issue 7, July 2015, PP 92-98 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Detecting and Correcting the Multiple Errors

More information

Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors

Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors Antonis Paschalis Department of Informatics & Telecommunications University of Athens, Greece paschali@di.uoa.gr

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

On the Use of Fuzzy Techniques for Partial Scan Insertion Based on the Testability metrics

On the Use of Fuzzy Techniques for Partial Scan Insertion Based on the Testability metrics On the Use of Fuzzy Techniques for Partial Scan Insertion Based on the Testability metrics Caro Lucas,Naghmeh Karimi Electrical and Computer Engineering University of Tehran Abstract: The chief goal of

More information

Part II: Laboratory Exercise

Part II: Laboratory Exercise SYDIC-Training Course on Digital Systems Testing and Design for Testability Part II: Laboratory Exercise Gert Jervan (gerje@ida.liu.se) Embedded Systems Laboratory (ESLAB) Linköping University March, 2003

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

Incorporating the Controller Eects During Register Transfer Level. Synthesis. Champaka Ramachandran and Fadi J. Kurdahi

Incorporating the Controller Eects During Register Transfer Level. Synthesis. Champaka Ramachandran and Fadi J. Kurdahi Incorporating the Controller Eects During Register Transfer Level Synthesis Champaka Ramachandran and Fadi J. Kurdahi Department of Electrical & Computer Engineering, University of California, Irvine,

More information

Animation of VLSI CAD Algorithms A Case Study

Animation of VLSI CAD Algorithms A Case Study Session 2220 Animation of VLSI CAD Algorithms A Case Study John A. Nestor Department of Electrical and Computer Engineering Lafayette College Abstract The design of modern VLSI chips requires the extensive

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Report on benchmark identification and planning of experiments to be performed

Report on benchmark identification and planning of experiments to be performed COTEST/D1 Report on benchmark identification and planning of experiments to be performed Matteo Sonza Reorda, Massimo Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

System Synthesis of Digital Systems

System Synthesis of Digital Systems System Synthesis Introduction 1 System Synthesis of Digital Systems Petru Eles, Zebo Peng System Synthesis Introduction 2 Literature: Introduction P. Eles, K. Kuchcinski and Z. Peng "System Synthesis with

More information

FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL

FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL FAULT MODELING OF COMBINATIONAL AND SEQUENTIAL CIRCUITS AT REGISTER TRANSFER LEVEL M.S.Suma 1 and K.S.Gurumurthy 2 1 Department of Electronics and Communication Engineering, R.V.College of Engineering,

More information

Chapter 4 Implementation of a Test Circuit

Chapter 4 Implementation of a Test Circuit Chapter 4 Implementation of a Test Circuit We use a simplified cost model (which is the number of transistors) to evaluate the performance of our BIST design methods. Although the simplified cost model

More information

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY 1997 783 [16] Layout synthesis benchmark set, Microelectronics Center of North Carolina, Research Triangle

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

Memory Exploration for Low Power, Embedded Systems

Memory Exploration for Low Power, Embedded Systems Memory Exploration for Low Power, Embedded Systems Wen-Tsong Shiue Arizona State University Department of Electrical Engineering Tempe, AZ 85287-5706 Ph: 1(602) 965-1319, Fax: 1(602) 965-8325 shiue@imap3.asu.edu

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems

Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems Sam Farrokhi, Masoud Zamani, Hossein Pedram, Mehdi Sedighi Amirkabir University of Technology Department of Computer Eng. & IT E-mail:

More information