Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Size: px
Start display at page:

Download "Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego."

Transcription

1 Modeling Embedded Systems Department of Computer Science and Engineering University of California, San Diego. 1

2 ES Design Hardware components Hardware Verification and Validation 2

3 Models, Languages and Tools State machine Sequent. program Dataflow Concurrent processes Verilog C/C++ Java VHDL Implementation A Implementation B Implementation C 3

4 Components of a formal design model n Functional specification Relationships between inputs, outputs & states n Properties Relations between I/O/S that can be checked against the functional specification 3 types: inherent in model of computation, those that can be verified syntactically & semantically for a given specification n Performance indices Evaluate quality of design n Constraints On performance indices, usually inequalities 4

5 Design: n Design process A set of components interacting with each other and with the environment that is not a part of design Model of Computation (MOC): n Defines the behavior and interaction of the design blocks Design process: n Takes a model at a higher level of abstraction and refines it to a lower level along with mapping constraints, performance indices and properties to the same level Validation: n Process of checking if design is correct Simulation/emulation, formal verification of specification or implementation Synthesis: n Design refinement where more abstract specifications are translated into less abstract specifications 5

6 n State Models of Computation Elements e.g. in HW : n n n Decidability Combinational states: one state for a given time t Sequential states: multiple states possible for time t Can a property be determined in a finite amount of time? n Concurrency and communication Embedded systems usually have coordinated concurrent processes -> communication required 6

7 Modes of Communication 7

8 Communication n Message Passing Non-blocking Blocking send () send () receive () receive () Extended rendezvous n Shared memory send () process a {.. P(S) //obtain lock.. // critical section V(S) //release lock } process b {.. P(S) //obtain lock.. // critical section V(S) //release lock } receive () ack 8

9 Models of computation comparison Communication/ local computations Communicating finite state machines Shared memory StateCharts Message passing Synchronous Asynchronous SDL Data flow (Not useful) Kahn networks, DFG, SDF Petri nets various versions of Petri Nets Discrete event (DE) model VHDL*, Verilog*, SystemC*, Only experimental systems, e.g. distributed DE in Ptolemy Von Neumann model + C, C++, Java C, C++, Java & libraries, ADA *Classification based on implementation with centralized data structures + Consists of a processing unit, control unit and storage for instructions & data

10 Model of Computation Examples n State machine models FSM, StateCharts, SDL n Petri nets n Communicating processes Kahn processes, Communicating Sequential Processes n Ada n Dataflow models DFG, SDFG n Discrete event models VHDL, Verilog, SystemC, SpecC n Synchronous languages Cycle based models, Esterel, Lustre 10

11 Classical automata input I clock Internal state S output O Moore-automata: O = H(S); S + = f(i, S) Mealy-automata O = H(I, S); S + = f(i, S) S0 e=1 S1 0 1 e=1 e=1 S3 S2 3 e=1 2 11

12 Finite-state machines (FSMs) Elevator Control process using a state machine req > floor u,d,o, t = 1,0,0,0 GoingUp!(req > floor) u,d,o,t = 0,0,1,0 req == floor req > floor Idle req < floor!(timer < 10) DoorOpen timer < 10 u,d,o,t = 0,0,1,1 u,d,o,t = 0,1,0,0 GoingDn req < floor!(req<floor) u is up, d is down, o is open t is timer_start 12

13 Elevator Control with Fire Mode req>floor UnitControl u,d,o = 1,0,0 GoingUp!(req>floor) req>floor u,d,o = 0,0,1 Idle timeout(10) DoorOpen u,d,o = 0,0,1 req==floor u,d,o = 0,1,0 req<floor GoingDn!(req<floor) fire fire fire fire FireGoingDn u,d,o = 0,1,0 floor==1 u,d,o = 0,0,1 req<floor floor>1 FireDrOpen!fire fire n FireMode When fire is true, move elevator to 1 st floor and open door 13

14 Models of Computation n State Machine Models FSM, StateCharts, CFSM, SDL n Petri nets n Communicating Processes Kahn processes, Communicating Sequential Processes n Ada n Dataflow models DFG, SDFG n Discrete Event Systems VHDL, Verilog, SystemC, SpecC n Synchronous languages Cycle based models, Esterel, Lustre 14

15 StateCharts: Hierarchy 15

16 Back to Elevator Example ElevatorController! fire UnitControl NormalMode FireMode fire RequestResolver... req>floor NormalMode UnitControl u,d,o = 1,0,0 u,d,o = 0,0,1 req==floor u,d,o = 0,1,0 GoingUp req>floor Idle req<floor GoingDn!(req>floor) timeout(10)!(req>floor) DoorOpen u,d,o = 0,0,1 req<floor!fire fire FireMode FireGoingDn u,d,o = 0,1,0 floor==1 u,d,o = 0,0,1 floor>1 FireDrOpen fire 16

17 StateCharts: Default state 17

18 StateCharts: History 18

19 History & default state same meaning 19

20 StateCharts: Concurrency 20

21 Conditional Transitions 21

22 StateCharts: Timers 22

23 Example: Answering machine 23

24 StateCharts: edge labels event [condition] / reaction n Events: Exist only until the next evaluation of the model Can be either internally or externally generated n Conditions: Refer to values of variables that keep their value until they are reassigned n Reactions: Can either be assignments for variables or creation of events n Example: service-off [not in Lproc] / service:=0 24

25 Source: B. P. Douglass & ilogix Propagations and Broadcasts 25

26 StateCharts: Simulation phase 1 Status phase 2 phase 3 Status= values of all variables + set of events + current time Step = execution of the three phases Three phases: 1. Effects of external changes on events and conditions are evaluated, 2. The set of transitions to be made in the current step and right hand sides of assignments are computed, 3. Transitions become effective, variables obtain new values. 26

27 StateCharts Simulation Example

28 Statecharts Example 1 e A B C f Statechart Example h g k m D e h A B C g f m k k D k Equivalent FSM Representation 28

29 Statecharts Example 2 f A B n g k E Statechart Example C D m h n n g g AC h AD BC h BD f f k m m m m Equivalent FSM Representation E 29

30 StateCharts: Application Examples n Power converter system for trams, metros & trains System-level modeling and automated code generation Guarantee latencies less than 10 microseconds Cut development time by 50% Time from design completion to first prototype down to 1hr from 3 months Defect free code automatically generated for a number of RTOS implementations 30 By Alstom

31 StateCharts: Application Examples n Development of defibrillator and pacemaker technology Model system behavior before requirements are finalized Check that SW provides mathematically consistent representation of the product s system correct and unambiguous representation of model behavior More accurate and extensive verification guarantee device works 100% of the time for at least 7-10yrs Cut product verification costs by 20% 15-20% overall cost reduction per projects on future development 31 By Guidant CRM

32 StateCharts: Application Examples n Jet engine electronic controller design System level specification and consistency check Construction of on-screen simulation of the cockpit display Evaluate correctness in normal and faulty operation modes Project so successful that now StateCharts are used for: n Independent overspeed protection system n Thrust reverse control system n Engine fuel control system By BMW 32

33 StateCharts: Summary n Hierarchy n AND- and OR-super states n Default state, History n Timing behavior n State oriented behavior n Edge labels n Concurrency n Synchronization & communication Broadcast, shared memory n Simulation n Cross compiling 33

34 Models of Computation n State Machine Models FSM, StateCharts, SDL n Petri nets n Communicating Processes Kahn processes, Communicating Sequential Processes n Ada n Dataflow models DFG, SDFG n Discrete Event Systems VHDL, Verilog, SystemC, SpecC n Synchronous languages Cycle based models, Esterel, Lustre 34

35 SDL n Designed for specification of distributed systems. n n Dates back to early 70s,formal semantics defined in the late 80s, updates from 1984 to 1999 by International Telecommunication Union (ITU) Provides textual and graphical formats n Similar to StateCharts, each FSM is called a process, but it uses message passing instead of shared memory for communication n Supports operations on data

36 SDL-representation of FSMs/processes state input output

37 Communication among SDL-FSMs n Communication between FSMs (or processes ) is based on message-passing, assuming a potentially indefinitely large FIFO-queue. Each process fetches next entry from FIFO, checks if input enables transition, if yes: transition takes place, if no: input is ignored (exception: SAVEmechanism).

38 Determinate? n Let tokens be arriving at FIFO at the same time: F Order in which they are stored is unknown: All orders are legal so simulators can show different behaviors for the same input, all of which are correct.

39 Operations on data n Variables can be declared locally for processes. n Their type can be predefined or defined in SDL itself. n SDL supports abstract data types (ADTs)

40 Process interaction diagrams n Interaction between processes can be described in process interaction diagrams, which are a special case of block diagrams n In addition to processes, these diagrams contain channels and declarations of local signals., B

41 Hierarchy in SDL n Process interaction diagrams can be included in blocks. The root block is called system. Processes cannot contain other processes, unlike in StateCharts.

42 Timers n Timers can be declared locally n Elapsed timers put signal into queue, but are not necessarily processed immediately n RESET removes a timer also from FIFO-queue.

43 Description of network protocols

44 Vending machine example Machine sells pretzels, chips, cookies, & doughnuts Accepts nickels, dime, quarters, and half-dollars It is not a distributed application. [J.M. Bergé, O. Levia, J. Roullard: High-Level System Modeling, Kluwer Academic Publishers, 1995]

45 Overall view of vending machine

46 p Decode Requests

47 Chip Handler no yes no yes

48 SDL: Real World Example n ADSL design Ideal language for telecom design; communication between components and their different states of operation can be easily modeled with SDL Object orientation and automatic code generation significantly simplified system design verification Early testing done on SDL significant savings in the cost of expensive test equiptment By Siemens 48

49 SDL summary FSM model for the components, Non-blocking message passing for communication, Implementation requires bound for the maximum length of FIFOs; may be very difficult to compute, Not necessarily determinate Timer concept adequate just for soft deadlines, Limited way of using hierarchies, Limited programming language support, No description of non-functional properties, Excellent for distributed applications (used for ISDN), Commercial tools available (see

50 Models of Computation n State Machine Models FSM, StateCharts, SDL, CFSM n Petri nets n Communicating Processes Kahn processes, Communicating Sequential Processes n Ada n Dataflow models DFG, SDFG n Discrete Event Systems VHDL, Verilog, SystemC, SpecC n Synchronous languages Cycle based models, Esterel, Lustre 50

51 Petri net definitions n H 2 OExample Source: Murata 89 51

52 Petri net Train tracks model 52

53 Concurrency, Causality, Choice 53

54 Concurrency, Causality, Choice 54

55 Concurrency, Causality, Choice 55

56 Concurrency, Causality, Choice 56

57 Concurrency, Causality, Choice 57

58 Petri nets: confusion J n Concurrency & conflict lead to confusion. Symmetric Asymmetric Source: Murata 89 58

59 Conflict for resource track 59

60 Communication Protocol 60

61 Communication Protocol 61

62 Communication Protocol 62

63 Communication Protocol 63

64 Communication Protocol 64

65 Communication Protocol 65

66 Producer-Consumer Problem 66

67 Producer-Consumer Problem 67

68 Producer-Consumer Problem 68

69 Producer-Consumer Problem 69

70 Producer-Consumer Problem 70

71 Producer-Consumer Problem 71

72 Producer-Consumer Problem 72

73 Producer-Consumer Problem 73

74 Producer-Consumer Problem 74

75 Producer-Consumer Problem 75

76 Producer-Consumer Problem 76

77 Producer-Consumer Problem 77

78 Producer-Consumer Problem 78

79 Producer-Consumer Problem 79

80 Producer-Consumer with Priority n Modeling synchronization control when sharing resources n Multiprocessor CPUs, distributed systems 80

81 Petri net definitions n H 2 OExample Source: Murata 89 81

82 n Behavioral Reachability n Petri Net Properties Marking M reachable from marking Mo k - Boundedness n n Liveness n Number of tokens in each place does not exceed finite number k Safe if 1-bounded n Structural Controlability Can fire any transition of the net related to absence of deadlocks n Any marking can be reached from any other marking Structural boundednes Conservativeness weighted sum of tokens constant 82

83 PN Properties - Reachability 83

84 PN Properties Deadlock-free 84

85 PN Properties Deadlock-free 85

86 Petri Net Liveness n L0-live (dead): a particular transition can never fire In the figure below, t 0 can never fire n see reachability graph

87 Petri Net Liveness n L1-live: a particular transition can fire at least once for some firing sequence In the figure below, t 1 can only fire once, for some firing sequence

88 Petri Net Liveness n L2-live: a particular transition can fire k times for a particular firing sequence, for any k. In the figure below, t 2 can only fire once, twice, etc, for different firing sequences

89 Petri Net Liveness n L3-live: a particular transition can fire infinitely in a particular firing sequence. In the figure below, t 3 can fire infinitely for the firing sequence t 3, t 3, t 3, t 3, Note that the number of times t 1 and t 2, fire is finite for any firing sequence.

90 PN Properties - Boundedness 90

91 PN Properties - Boundedness 91

92 PN Properties - Boundedness 92

93 PN Properties - Boundedness 93

94 PN Properties - Boundedness 94

95 PN Properties - Conservation 95

96 PN Properties - Conservation 96

97 PN Properties - Conservation 97

98 Petri Nets - Analysis n Structural Incidence matrix n State Space Analysis techniques Coverability Tree Reachability Graph 98

99 PN Properties Analysis Incident Matrix State Equations 99

100 Petri Nets Coverability Tree 100

101 n Assume e=6 Mo=[0 12] Example n Can we reach M=[6 0] from Mo? n Can it be statically scheduled? n What size buffers are needed at P1 & P2? T1 2 P1 3 4 P2 e T2 101

102 Petri nets: Applications n Model, simulate and analyze networking protocols (e.g. TCP, Ethernet, etc) n Model, simulate and analyze complex network elements (e.g. router, switch, optical mux); check their logical behavior n Design and analyze network performance and AoS with logical models of traffic generators, protocols and network elements n Study network behavior characteristics (e.g. throughput, blocking probability etc) By Siemens 102

103 Petri nets in practice n Example apps: TCP performance Security system design and automated code geneeration MAC design. 103

104 Petri Nets - Summary n PN Graph places (buffers), transitions (action), tokens (data) n Firing rule Transition enabled if enough tokens in a place n Properties Structural (consistency, structural boundedness) Behavioral (reachability, boundedness, etc.) n Analysis techniques n Applications Modeling of resources, mutual exclusion, synchronization 104

105 Models of Computation n State Machine Models FSM, StateCharts, SDL, CFSM n Petri nets n Communicating Processes Communicating Sequential Processes, Ada, Kahn processes n Dataflow models DFG, SDFG n Discrete Event Systems VHDL, Verilog, SystemC, SpecC n Synchronous languages Cycle based models, Esterel, Lustre n HW Models n Unified Modeling Language (UML) 105

106 Process n A sequential program Executes concurrently with other processes n Basic operations on processes Create & terminate, suspend & resume, join n Process communication Shared memory (and mutexes) Message passing Rendezvous Unit Control Request Resolver System interface req b1 b2 bn up1 up2 dn2 up3 dn3 dnn up down open floor buttons inside elevator up/down buttons on each floor 106

107 ADA-rendez-vous task screen_out is entry call_ch(val:character; x, y: integer); entry call_int(z, x, y: integer); end screen_out; task body screen_out is... select accept call_ch... do.. end call_ch; or accept call_int... do.. end call_int; end select; Sending a message: begin screen_out.call_ch('z',10,20); exception when tasking_error => (exception handling) end; 107

108 Task graphs Sequence constraint Nodes are a program described in some programming language 108

109 Task graphs - Timing Arrival time deadline ] 109

110 Task graphs - I/O 110

111 Task graphs - Shared resources 111

112 Task graphs - Periodic schedules.. infinite task graphs 112

113 Task graphs - Hierarchy 113

114 Models of Computation n n n n n n n n n State Machine Models FSM, StateCharts, SDL, CFSM Petri nets Communicating Processes Communicating Sequential Processes, Ada Dataflow models Kahn processes DFG, SDFG Discrete Event Systems VHDL, Verilog, SystemC, SpecC Synchronous languages Cycle based models, Esterel, Lustre Petri nets HW Models Unified Modeling Language (UML) 114

115 Kahn process network KPN - executable task graphs Communication is via infinitely large FIFOs Nonblocking write, blocking read => DETERMINATE channel process Important properties of KPN: Continuous Output signals can be gradually produced; never have to consume all input to produce some output Monotonic Output depends on input but doesn t change previous output Continuous monotonic processes => ITERATIVE 115

116 Petri net model of a Kahn process n KPNs are deterministic: Output determined by n Process, network, initial tokens 116

117 Modeling Embedded Systems Department of Computer Science and Engineering University of California, San Diego. 117

118 Dataflow Process Networks A C D Z = (A + B) * (C - D) A B C D + t1 t2 B n Dataflow: Maps input tokens to output tokens Outputs function of current inputs n No need to keep state on suspend/ resume Scheduling for resource sharing A B C D modulate t1 transform Z t2 convolve Nodes with more complex transformations * Z Nodes with arithmetic transformations 118

119 Dataflow process examples n HW resource scheduling Constraints: 2 mult, 2 ALU NOP 0 List scheduler Additional constraints n Performance n Power n Area etc. T1 T2 T3 * 1 2 * * 3 4 * * 7 6 * 8 + < T NOP n 119

120 Synchronous dataflow 120

121 SDF notation n Nodes have rates of data production or consumption. n Edges have delays. Delays do not change rates, only the amount of data stored in the system at startup

122 SDF examples n1 1 2 n n3 n1 1 D 1 2D 1 1 n2 n3

123 SDF Scheduling n By building a set of flow and conservation equations 3a 2b = 0 4b 3d = 0 b 3c = 0 2c a = 0 d 2a = 0 Solution: a = 2c; b = 3c; d = 4c Possible schedules: BBBCDDDDAA BDBDBCADDA BBDDBDDCAA 123

124 Dataflow process examples n Design of a first b WLAN card New product combines RF, MAC and PHY; lots of DSP Implemented on an ASIC Previous design hand coded VHDL n System level design with COSSAP by Synopsys Explore architectural trade-offs what in gates, what on DSP Scheduling trade-offs: latency, area, propagation delay between clocks, and vendor library n Results: Reduced time to market by a factor of TWO! Architectural exploration within 10% of actual measurements in terms of timing and area by Symbol Tech. 124

125 Models of Computation n n n n n n n n n State Machine Models FSM, StateCharts, SDL, CFSM Petri nets Communicating Processes Kahn processes, Communicating Sequential Processes Ada Dataflow models DFG, SDFG Discrete Event Systems VHDL, Verilog, SystemC, SpecC Synchronous languages Cycle based models, Esterel, Lustre HW Models Unified Modeling Language (UML) 125

126 Discrete Events n Notion of time is fundamental: global order events are objects which carry ordered time info there is a casual relationship between events n DE simulator maintains global event queue Verilog, VHDL n Expensive - ordering tame stamps can be time consuming n Large state & Low Activity => Effective simulation n Simultaneous events lead to non-determinacy require complex conflict resolution schemes e.g. delta delays 126

127 Simultaneous Events in the Discrete Event Model t A B C t B has 0 delay B has delta delay t t A B C t t+ A B C 127

128 VHDL: Entities entity full_adder is port(a, b, carry_in: in Bit; -- input ports sum,carry_out: out Bit); --output ports end full_adder; 128

129 VHDL: Architectures architecture structure of full_adder is component half_adder port (in1,in2:in Bit; carry, sum:out Bit); end component; component or_gate port (in1, in2:in Bit; o:out Bit); end component; signal x, y, z: Bit; begin -- local signals -- port map section i1: half_adder port map (a, b, x, y); i2: half_adder port map (y, carry_in, z, sum); i3: or_gate port map (x, z, carry_out); end structure; architecture behavior of full_adder is begin sum <= (a xor b) xor carry_in after 10 Ns; carry_out <= (a and b) or (a and carry_in) or (b and carry_in) after 10 Ns; end behavior; 129

130 VHDL: signal strengths 130

131 VHDL processes & waits Processes model HW parallelism process begin a <= b after 10 ns end Waits: wait until signal list; wait until a; wait until condition; wait until c='1'; wait for duration; wait for 10 ns; wait; suspend indefinitely wait on = sensitivity list process begin prod <= x and y ; wait on x,y; end process; process (x, y) begin prod <= x and y ; end process; 131

132 VHDL Simulation 132

133 VHDL Simulation of an RS FF nd δ st δ ns 0ns+δ 0ns+2δ R S Q nq architecture one of RS_Flipflop is begin process: (R,S,Q,nQ) begin Q <= R nor nq; nq <= S nor Q; end process; end one; δ cycles reflect the fact that no real gate comes with zero delay. 133

134 VHDL Summary n Entities and architectures n Multiple-valued logic n Modeling hardware parallelism by processes Wait statements and sensitvity lists n VHDL simulation cycle δ cycles 134

135 SystemC: Motivation 135

136 SystemC: Methodology 136

137 Models of Computation n n n n n n n n n State Machine Models FSM, StateCharts, SDL, CFSM Petri nets Communicating Processes Kahn processes, Communicating Sequential Processes Ada Dataflow models DFG, SDFG Discrete Event Systems VHDL, Verilog, SystemC, SpecC Synchronous reactive languages Cycle based models, Esterel, Lustre HW Models Unified Modeling Language (UML) 137

138 Reactive Synchronous Languages n Assumptions Instantaneous reactions Discrete event Static n Cycle based models Excellent for (single) clocked synchronous circuits n Control flow oriented (imperative) languages Esterel n Data flow languages Lustre, Signal n Deterministic behavior n Simulation, software and hardware synthesis, verification

139 Lustre example 139

140 Reactive Synchronous Models: Esterel Statements n Emit S n Present S then p else q end n Pause n P; Q, P Q n Loop p end n Await S n Abort p when S n Suspend p when S n Sustain S = (loop emit S; pause end) 140

141 Abort Statement Normal termination Aborted termination Aborted termination, Emit A preempted Normal termination B not checked in the first cycle (like await) 141

142 Esterel Examples 142

143 Esterel Examples 143

144 Time in Esterel n Global clock with precise control over when events appear At every tick: read inputs, compute, output n Statements A bounded number in one cycle n Emit, present, loop Take multiple cycles: n Pause, await, sustain n Causality analysis Deterministic & non-contradictory 144

145 Esterel Application Examples TI used Esterel to automatically synthesize full coverage tests for a safety-critical design Showed functional coverage covered only 30% of the design, with Esterl 100% covered Airbus Significant decrease in errors due to increase in automated code generation (40-70%) e.g fly by wire controls & automatic flight control 70%, display computer 50%, warning & maintenance computer 40% Major increase in productivity 145

146 Esterel Summary Reactive synchronous language Control flow oriented Imperative syntax Synchrony assumption useful for safety critical embedded systems Convert timing relations to causal ordering Used in verification e.g. TI, Airbus 146

147 Models of Computation n n n n n n n n n State Machine Models FSM, StateCharts, SDL, CFSM Petri nets Communicating Processes Kahn processes, Communicating Sequential Processes Ada Dataflow models DFG, SDFG Synchronous languages Cycle based models, Esterel, Lustre Discrete Event Systems VHDL, Verilog, SystemC, SpecC HW Models Unified Modeling Language (UML) 147

148 Levels of hardware modeling 1. System level 2. Algorithmic level 3. Instruction set level 4. Register-transfer level (RTL) 5. Gate-level models 6. Switch-level models 7. Circuit-level models 8. Device-level models 9. Layout models 10. Process and device models 148

149 Instruction level Assembler (MIPS) Simulated semantics and $1,$2,$3 Reg[1]:=Reg[2] Reg[3] or $1,$2,$3 Reg[1]:=Reg[2] Reg[3] andi $1,$2,100 Reg[1]:=Reg[2] 100 sll $1,$2,10 Reg[1]:=Reg[2] << 10 srl $1,$2,10 Reg[1]:=Reg[2] >>

150 Register transfer level: MIPS PCWrite PC B PCWriteC IorD 0 1 MemWrite MemRead i2 a2 a1 Memory IRWrite Instruction register IR * 31:26 25:21 20:16 15:11 15:0 25:0 MemToReg Controller RegDest RegWrite i3 a3 a2 a1 Reg Speicher sign_ extend o2 o1 ALUSelB 4 <<2 ALUSelA ALUOp ALU alu_ control TargetWrite T PCSource * "00 31:

151 Gate-level model 151

152 Switch level model 152

153 Circuit level model 153

154 Device level Measured and simulated currents 154

155 Layout model powhi din dout powlo 155

156 Process model Simulated Measured 156

157 Models of Computation n State Machine Models FSM, StateCharts, SDL, CFSM n Petri nets n Ada n Communicating Processes Kahn processes, Communicating Sequential Processes n Dataflow models DFG, SDFG n Synchronous languages Cycle based models, Esterel, Lustre n Discrete Event Systems VHDL, Verilog, SystemC, SpecC n HW Models n Unified Modeling Language (UML) 157

158 From: UML (Unified modeling language) 158

159 UML - StateCharts 159

160 UML Extended Petri Nets swimlane 160

161 UML UML Summary - State machine diagram (StateChart-like) - Activity diagram (extended Petri nets) - Deployment diagram (exec. arch.) - Use case diagram - Package diagram (hierarchy) - Class diagrams - Timing diagrams (UML 2.0), UML for real-time 161

162 Models and Languages Summary n Multiple models and languages are essential for highlevel design Managing complexity by abstraction Formality ensures refinement correctness Model choice depends on n Class of applications n Required operations (synthesis, scheduling,...) n Multiple MOCs can co-exist during all phases of design Specification Architectural mapping and simulation Synthesis, code generation, scheduling Detailed design and implementation Co-simulation 162

163 Sources and References n Peter Marwedel, Embedded Systems Design, n Frank Vahid, Tony Givargis, Embedded System Design, Wiley, n Wayne Wolf, Computers as Components, Morgan Kaufmann, n Axel Jantsch, Modeling Embedded Systems and SOCs, Morgan Kaufmann, n Alberto UCB n Mani UCLA n Rajesh UCSD n Nikil UCI 163

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Modeling Embedded Systems Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. 1 ES Design Hardware components Hardware 2 Tajana Simunic Rosing Verification

More information

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Modeling Embedded Systems Department of Computer Science and Engineering University of California, San Diego. 1 ES Design Hardware components Hardware Verification and Validation 2 Models, Languages and

More information

CSE 237A Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

CSE 237A Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. CSE 237A Modeling Embedded Systems Department of Computer Science and Engineering University of California, San Diego. 1 ES Design Hardware components Hardware Verification and Validation 2 Models, Languages

More information

FSMs & message passing: SDL

FSMs & message passing: SDL 12 FSMs & message passing: SDL Peter Marwedel TU Dortmund, Informatik 12 Springer, 2010 2012 年 10 月 30 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models of computation

More information

CS 310 Embedded Computer Systems DESIGN

CS 310 Embedded Computer Systems DESIGN 1 EMBEDDED SYSTEM DESIGN Embedded System Design 2 3 Specification Introduction 4 Describing embedded d system s processing behavior Can be extremely difficult Complexity increasing with increasing IC capacity

More information

Specifications Part 1

Specifications Part 1 pm3 12 Specifications Part 1 Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008/11/15 ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Introduction 12, 2008-2 - 1 Specification

More information

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07 12 Peter Marwedel TU Dortmund, Informatik 12 2009/11/07 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Modeling levels Levels of hardware modeling Possible set of levels (others exist) System level Algorithmic

More information

Comparison of models. Peter Marwedel Informatik 12, TU Dortmund, Germany 2010/11/07. technische universität dortmund

Comparison of models. Peter Marwedel Informatik 12, TU Dortmund, Germany 2010/11/07. technische universität dortmund 12 Comparison of models Peter Marwedel Informatik 12, TU Dortmund, Germany Graphics: Alexandra Nolte, Gesine Marwedel, 2003 These slides use Microsoft clip arts. Microsoft copyright restrictions apply.

More information

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund 12 SDL Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 2017 年 10 月 18 日 Springer, 2010 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models

More information

EE414 Embedded Systems Ch 9. State Machines

EE414 Embedded Systems Ch 9. State Machines EE414 Embedded Systems Ch 9. State Machines Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Outline State Machine Model 9.1 Introduction 9.2 Models vs.

More information

Specifications Part 3

Specifications Part 3 pm4 12 Specifications Part 3 Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008/11/15 ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Models of computation considered

More information

Imperative model of computation

Imperative model of computation 12 Imperative model of computation Jian-Jia Chen (Slides are based on Peter Marwedel) Informatik 12 TU Dortmund Germany Springer, 2010 2016 年 11 月 09 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Specifications and Modeling

Specifications and Modeling 12 Specifications and Modeling Peter Marwedel TU Dortmund, Informatik 12 Springer, 2010 2012 年 10 月 17 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Hypothetical design

More information

Imperative model of computation

Imperative model of computation 12 Imperative model of computation Peter Marwedel Informatik 12 TU Dortmund Germany Springer, 2010 2012 年 11 月 07 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models

More information

Discrete Event Models

Discrete Event Models 12 Discrete Event Models Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2016 年 11 月 08 日 These slides use Microsoft clip arts. Microsoft copyright

More information

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08 12 Data flow models Peter Marwedel TU Dortmund, Informatik 12 2009/10/08 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Models of computation considered in this course Communication/ local computations

More information

Embedded Systems CS - ES

Embedded Systems CS - ES Embedded Systems - 1 - Synchronous dataflow REVIEW Multiple tokens consumed and produced per firing Synchronous dataflow model takes advantage of this Each edge labeled with number of tokens consumed/produced

More information

Discrete Event Models

Discrete Event Models 12 Discrete Event Models Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2014 年 10 月 28 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Chapter 8: Computation models 8-1

Chapter 8: Computation models 8-1 Chapter 8: Computation models 8-1 Chapter 8 Computation models 8.1 Introduction We implement a system s processing behavior with processors. But to accomplish this, we must have first described that processing

More information

fakultät für informatik informatik 12 technische universität dortmund Specifications Peter Marwedel TU Dortmund, Informatik /11/15

fakultät für informatik informatik 12 technische universität dortmund Specifications Peter Marwedel TU Dortmund, Informatik /11/15 12 Specifications Peter Marwedel TU Dortmund, Informatik 12 2008/11/15 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Structure of this course Application Knowledge 3: Embedded System HW 2: Specifications

More information

Specifications and Modeling

Specifications and Modeling 12 Specifications and Modeling Peter Marwedel TU Dortmund, Informatik 12 2009/10/20 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Structure of this course 2: Specification Design repository Design Application

More information

Imperative model of computation

Imperative model of computation 12 Imperative model of computation Peter Marwedel TU Dortmund, Informatik 12 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 2010/10/28 These slides use Microsoft clip arts. Microsoft copyright restrictions

More information

Early design phases. Peter Marwedel TU Dortmund, Informatik /10/11. technische universität dortmund. fakultät für informatik informatik 12

Early design phases. Peter Marwedel TU Dortmund, Informatik /10/11. technische universität dortmund. fakultät für informatik informatik 12 12 Early design phases Peter Marwedel TU Dortmund, Informatik 12 2010/10/11 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Graphics: Alexandra Nolte, Gesine Marwedel, 2003

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Lecture 6B Hierarchical/Concurrent State Machine Models (HCFSM)

Lecture 6B Hierarchical/Concurrent State Machine Models (HCFSM) ECE 474A/57A Computer-Aided Logic Design Outline Models vs. Languages Lecture 6B Hierarchical/Concurrent State Machine Models (HCFSM) State Machine Model FSM/FSMD HCFSM and Statecharts Language Program-State

More information

Petri Nets ee249 Fall 2000

Petri Nets ee249 Fall 2000 Petri Nets ee249 Fall 2000 Marco Sgroi Most slides borrowed from Luciano Lavagno s lecture ee249 (1998) 1 Models Of Computation for reactive systems Main MOCs: Communicating Finite State Machines Dataflow

More information

Discrete Event Models

Discrete Event Models 12 Discrete Event Models Peter Marwedel TU Dortmund, Informatik 12 Germany Graphics: Alexandra Nolte, Gesine Marwedel, 2003 2011 年 11 月 06 日 These slides use Microsoft clip arts. Microsoft copyright restrictions

More information

Hardware Software Codesign

Hardware Software Codesign Hardware Software Codesign 2. Specification and Models of Computation Lothar Thiele 2-1 System Design Specification System Synthesis Estimation SW-Compilation Intellectual Prop. Code Instruction Set HW-Synthesis

More information

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation Introduction to Electronic Design Automation Model of Computation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 03 Model of Computation In system design,

More information

By: Chaitanya Settaluri Devendra Kalia

By: Chaitanya Settaluri Devendra Kalia By: Chaitanya Settaluri Devendra Kalia What is an embedded system? An embedded system Uses a controller to perform some function Is not perceived as a computer Software is used for features and flexibility

More information

Hardware Description Languages & System Description Languages Properties

Hardware Description Languages & System Description Languages Properties Hardware Description Languages & System Description Languages Properties There is a need for executable specification language that is capable of capturing the functionality of the system in a machine-readable

More information

Petri Nets. Petri Nets. Petri Net Example. Systems are specified as a directed bipartite graph. The two kinds of nodes in the graph:

Petri Nets. Petri Nets. Petri Net Example. Systems are specified as a directed bipartite graph. The two kinds of nodes in the graph: System Design&Methodologies Fö - 1 System Design&Methodologies Fö - 2 Petri Nets 1. Basic Petri Net Model 2. Properties and Analysis of Petri Nets 3. Extended Petri Net Models Petri Nets Systems are specified

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Hardware Description Languages & System Description Languages Properties

Hardware Description Languages & System Description Languages Properties Hardware Description Languages & System Description Languages Properties There is a need for executable specification language that is capable of capturing the functionality of the system in a machine-readable

More information

Embedded Systems 7. Models of computation for embedded systems

Embedded Systems 7. Models of computation for embedded systems Embedded Systems 7 - - Models of computation for embedded systems Communication/ local computations Communicating finite state machines Data flow model Computational graphs Von Neumann model Discrete event

More information

EE249 Discussion Petri Nets: Properties, Analysis and Applications - T. Murata. Chang-Ching Wu 10/9/2007

EE249 Discussion Petri Nets: Properties, Analysis and Applications - T. Murata. Chang-Ching Wu 10/9/2007 EE249 Discussion Petri Nets: Properties, Analysis and Applications - T. Murata Chang-Ching Wu 10/9/2007 What are Petri Nets A graphical & modeling tool. Describe systems that are concurrent, asynchronous,

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

System Level Design For Low Power. Yard. Doç. Dr. Berna Örs Yalçın

System Level Design For Low Power. Yard. Doç. Dr. Berna Örs Yalçın System Level Design For Low Power Yard. Doç. Dr. Berna Örs Yalçın References System-Level Design Methodology, Daniel D. Gajski Hardware-software co-design of embedded systems : the POLIS approach / by

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems EHB326E Lectures Prof. Dr. Müştak E. Yalçın Istanbul Technical University mustak.yalcin@itu.edu.tr Prof. Dr. Müştak E. Yalçın (İTÜ) EHB326E (V: 0.1) September, 2018 1 /

More information

Specifications Part 2

Specifications Part 2 pm4 12 Specifications Part 2 Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008/11/15 ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Models of computation Communication/

More information

Modelling, Analysis and Scheduling with Dataflow Models

Modelling, Analysis and Scheduling with Dataflow Models technische universiteit eindhoven Modelling, Analysis and Scheduling with Dataflow Models Marc Geilen, Bart Theelen, Twan Basten, Sander Stuijk, AmirHossein Ghamarian, Jeroen Voeten Eindhoven University

More information

Hardware-Software Codesign. 6. System Simulation

Hardware-Software Codesign. 6. System Simulation Hardware-Software Codesign 6. System Simulation Lothar Thiele 6-1 System Design specification system simulation (this lecture) (worst-case) perf. analysis (lectures 10-11) system synthesis estimation SW-compilation

More information

EC-821 Advanced Embedded Systems (3+0)

EC-821 Advanced Embedded Systems (3+0) EC-821 Advanced Embedded Systems (3+0) Lecture 2 Specifications and Modelling I Requirements Dr Hashim Ali Spring - 2019 Department of Computer Science and Engineering HITEC University Taxila!1 Hypothetical

More information

Embedded Systems 8. Identifying, modeling and documenting how data moves around an information system. Dataflow modeling examines

Embedded Systems 8. Identifying, modeling and documenting how data moves around an information system. Dataflow modeling examines Embedded Systems 8 - - Dataflow modeling Identifying, modeling and documenting how data moves around an information system. Dataflow modeling examines processes (activities that transform data from one

More information

EE382N.23: Embedded System Design and Modeling

EE382N.23: Embedded System Design and Modeling EE382N.23: Embedded System Design and Modeling Lecture 3 Language Semantics Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu Lecture 3: Outline

More information

TKT-1527 Digital System Design Issues Tero Arpinen. Introduction to SoC modeling and Models of Computation

TKT-1527 Digital System Design Issues Tero Arpinen. Introduction to SoC modeling and Models of Computation TKT-1527 Digital System Design Issues Tero Arpinen Introduction to SoC modeling and Models of Computation 1 Reference material A. Jantsch and I. Sander, Models of computation and languages for embedded

More information

PROF. TAJANA SIMUNIC ROSING. Final Exam. Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115

PROF. TAJANA SIMUNIC ROSING. Final Exam. Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115 CSE 237A WINTER 2012 PROF. TAJANA SIMUNIC ROSING Final Exam NAME: ID: Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115 INSTRUCTIONS: 1. Please

More information

Architectural-Level Synthesis. Giovanni De Micheli Integrated Systems Centre EPF Lausanne

Architectural-Level Synthesis. Giovanni De Micheli Integrated Systems Centre EPF Lausanne Architectural-Level Synthesis Giovanni De Micheli Integrated Systems Centre EPF Lausanne This presentation can be used for non-commercial purposes as long as this note and the copyright footers are not

More information

Outline. Petri nets. Introduction Examples Properties Analysis techniques. 1 EE249Fall04

Outline. Petri nets. Introduction Examples Properties Analysis techniques. 1 EE249Fall04 Outline Petri nets Introduction Examples Properties Analysis techniques 1 Petri Nets (PNs) Model introduced by C.A. Petri in 1962 Ph.D. Thesis: Communication with Automata Applications: distributed computing,

More information

Models of computation

Models of computation 12 Models of computation Peter Marwedel TU Dortmund Informatik 12 Springer, 2010 2012 年 10 月 23 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models of computation What

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization Dataflow Lecture: SDF, Kahn Process Networks Stavros Tripakis University of California, Berkeley Stavros Tripakis: EECS

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Programming Languages for Real-Time Systems. LS 12, TU Dortmund

Programming Languages for Real-Time Systems. LS 12, TU Dortmund Programming Languages for Real-Time Systems Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 20 June 2016 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 41 References Slides are based on Prof. Wang Yi, Prof.

More information

Integrated HW/SW Systems: Requirements

Integrated HW/SW Systems: Requirements TECHNISCHE UNIVERSITÄT ILMENAU Integrated HW/SW Systems: Requirements Integrated Communication Systems http://www.tu-ilmenau.de/iks Analysis process Functional requirements Performance requirements Real-time

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 3 Fall 2006 Eli Bozorgzadeh Computer Science Department-UCI System Model According to Abstraction level Architectural, logic and geometrical View Behavioral,

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

Unit 2: High-Level Synthesis

Unit 2: High-Level Synthesis Course contents Unit 2: High-Level Synthesis Hardware modeling Data flow Scheduling/allocation/assignment Reading Chapter 11 Unit 2 1 High-Level Synthesis (HLS) Hardware-description language (HDL) synthesis

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

Hierarchical FSMs with Multiple CMs

Hierarchical FSMs with Multiple CMs Hierarchical FSMs with Multiple CMs Manaloor Govindarajan Balasubramanian Manikantan Bharathwaj Muthuswamy (aka Bharath) Reference: Hierarchical FSMs with Multiple Concurrency Models. Alain Girault, Bilung

More information

Topics. Verilog. Verilog vs. VHDL (2) Verilog vs. VHDL (1)

Topics. Verilog. Verilog vs. VHDL (2) Verilog vs. VHDL (1) Topics Verilog Hardware modeling and simulation Event-driven simulation Basics of register-transfer design: data paths and controllers; ASM charts. High-level synthesis Initially a proprietary language,

More information

Petri Nets ~------~ R-ES-O---N-A-N-C-E-I--se-p-te-m--be-r Applications.

Petri Nets ~------~ R-ES-O---N-A-N-C-E-I--se-p-te-m--be-r Applications. Petri Nets 2. Applications Y Narahari Y Narahari is currently an Associate Professor of Computer Science and Automation at the Indian Institute of Science, Bangalore. His research interests are broadly

More information

Overview of Dataflow Languages. Waheed Ahmad

Overview of Dataflow Languages. Waheed Ahmad Overview of Dataflow Languages Waheed Ahmad w.ahmad@utwente.nl The purpose of models is not to fit the data but to sharpen the questions. Samuel Karlins 11 th R.A Fisher Memorial Lecture Royal Society

More information

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it. MODELING LANGUAGES AND ABSTRACT MODELS Giovanni De Micheli Stanford University Chapter 3 in book, please read it. Outline Hardware modeling issues: Representations and models. Issues in hardware languages.

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department. Entities, Architectures, and Coding.

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department.   Entities, Architectures, and Coding. Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com Midia Reshadi 1 Chapter 2 Entities, Architectures, and Coding Styles Midia

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Section 8. The Basic Step Algorithm

Section 8. The Basic Step Algorithm Section 8. The Basic Step Algorithm Inputs The status of the system The current time A list of external changes presented by the environment since the last step Comments Scheduled action appears in the

More information

Dataflow Languages. Languages for Embedded Systems. Prof. Stephen A. Edwards. March Columbia University

Dataflow Languages. Languages for Embedded Systems. Prof. Stephen A. Edwards. March Columbia University Dataflow Languages Languages for Embedded Systems Prof. Stephen A. Edwards Columbia University March 2009 Philosophy of Dataflow Languages Drastically different way of looking at computation Von Neumann

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

Verilog Module 1 Introduction and Combinational Logic

Verilog Module 1 Introduction and Combinational Logic Verilog Module 1 Introduction and Combinational Logic Jim Duckworth ECE Department, WPI 1 Module 1 Verilog background 1983: Gateway Design Automation released Verilog HDL Verilog and simulator 1985: Verilog

More information

Topic #6. Processor Design

Topic #6. Processor Design Topic #6 Processor Design Major Goals! To present the single-cycle implementation and to develop the student's understanding of combinational and clocked sequential circuits and the relationship between

More information

Using and Compiling Esterel

Using and Compiling Esterel Using and Compiling Esterel Stephen A. Edwards Columbia University Department of Computer Science sedwards@cs.columbia.edu http://www.cs.columbia.edu/ sedwards/ Memocode Tutorial, July 11, 2005 The Esterel

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

A Simple Example. The Synchronous Language Esterel. A First Try: An FSM. The Esterel Version. The Esterel Version. The Esterel Version

A Simple Example. The Synchronous Language Esterel. A First Try: An FSM. The Esterel Version. The Esterel Version. The Esterel Version The Synchronous Language Prof. Stephen. Edwards Simple Example The specification: The output O should occur when inputs and have both arrived. The R input should restart this behavior. First Try: n FSM

More information

ECL: A SPECIFICATION ENVIRONMENT FOR SYSTEM-LEVEL DESIGN

ECL: A SPECIFICATION ENVIRONMENT FOR SYSTEM-LEVEL DESIGN / ECL: A SPECIFICATION ENVIRONMENT FOR SYSTEM-LEVEL DESIGN Gerard Berry Ed Harcourt Luciano Lavagno Ellen Sentovich Abstract We propose a new specification environment for system-level design called ECL.

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

From synchronous models to distributed, asynchronous architectures

From synchronous models to distributed, asynchronous architectures From synchronous models to distributed, asynchronous architectures Stavros Tripakis Joint work with Claudio Pinello, Cadence Alberto Sangiovanni-Vincentelli, UC Berkeley Albert Benveniste, IRISA (France)

More information

System Level Design Flow

System Level Design Flow System Level Design Flow What is needed and what is not Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski System Level Design Flow What is

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, s

Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, s Pascal Raymond, Verimag-CNRS Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, sequential style (i.e.

More information

CMOS VLSI Design. MIPS Processor Example. Outline

CMOS VLSI Design. MIPS Processor Example. Outline COS VLSI Design IPS Processor Example Outline Design Partitioning IPS Processor Example Architecture icroarchitecture Logic Design Circuit Design Physical Design Fabrication, Packaging, Testing Slide 2

More information

Online Verilog Resources

Online Verilog Resources EECS 427 Discussion 6: Verilog HDL Reading: Many references EECS 427 F08 Discussion 6 1 Online Verilog Resources ASICs the book, Ch. 11: http://www.ge.infn.it/~pratolo/verilog/verilogtutorial.pdf it/ pratolo/verilog/verilogtutorial

More information

The Esterel language

The Esterel language Pascal Raymond, Verimag-CNRS Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, sequential style (i.e.

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

CC 311- Computer Architecture. The Processor - Control

CC 311- Computer Architecture. The Processor - Control CC 311- Computer Architecture The Processor - Control Control Unit Functions: Instruction code Control Unit Control Signals Select operations to be performed (ALU, read/write, etc.) Control data flow (multiplexor

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

An Introduction to Lustre

An Introduction to Lustre An Introduction to Lustre Monday Oct 06, 2014 Philipp Rümmer Uppsala University Philipp.Ruemmer@it.uu.se 1/35 ES Programming languages Which language to write embedded software in? Traditional: low-level

More information

CS/COE0447: Computer Organization

CS/COE0447: Computer Organization CS/COE0447: Computer Organization and Assembly Language Datapath and Control Sangyeun Cho Dept. of Computer Science A simple MIPS We will design a simple MIPS processor that supports a small instruction

More information

CS/COE0447: Computer Organization

CS/COE0447: Computer Organization A simple MIPS CS/COE447: Computer Organization and Assembly Language Datapath and Control Sangyeun Cho Dept. of Computer Science We will design a simple MIPS processor that supports a small instruction

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2018 More Verilog Finite Machines Lecture 8: 1 Prelim 1, Thursday 3/1, 1:25pm, 75 mins Arrive early by 1:20pm Review sessions Announcements Monday

More information

ECE369. Chapter 5 ECE369

ECE369. Chapter 5 ECE369 Chapter 5 1 State Elements Unclocked vs. Clocked Clocks used in synchronous logic Clocks are needed in sequential logic to decide when an element that contains state should be updated. State element 1

More information

Programming Embedded Systems

Programming Embedded Systems Programming Embedded Systems Lecture 10 An introduction to Lustre Wednesday Feb 15, 2012 Philipp Rümmer Uppsala University Philipp.Ruemmer@it.uu.se 1/34 Course topic: programming lang. Which language to

More information

BeiHang Short Course, Part 2: Description and Synthesis

BeiHang Short Course, Part 2: Description and Synthesis BeiHang Short Course, Part 2: Operation Centric Hardware Description and Synthesis J. C. Hoe, CMU/ECE/CALCM, 2014, BHSC L2 s1 James C. Hoe Department of ECE Carnegie Mellon University Collaborator: Arvind

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Synchronous Statecharts. Christian Motika

Synchronous Statecharts. Christian Motika Execution (KlePto) Esterel to transformation (KIES) Synchronous Statecharts for executing Esterel with Ptolemy Christian Motika Real-Time Systems and Embedded Systems Group Department of Computer Science

More information

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines ECE 2300 Digital Logic & Computer Organization Spring 2017 More Verilog Finite State Machines Lecture 8: 1 Announcements 1 st batch of (raw) quiz scores released on CMS Solutions to HW 1-3 released on

More information

Reinhard v. Hanxleden 1, Michael Mendler 2, J. Aguado 2, Björn Duderstadt 1, Insa Fuhrmann 1, Christian Motika 1, Stephen Mercer 3 and Owen Brian 3

Reinhard v. Hanxleden 1, Michael Mendler 2, J. Aguado 2, Björn Duderstadt 1, Insa Fuhrmann 1, Christian Motika 1, Stephen Mercer 3 and Owen Brian 3 Sequentially Constructive Concurrency * A conservative extension of the Synchronous Model of Computation Reinhard v. Hanxleden, Michael Mendler 2, J. Aguado 2, Björn Duderstadt, Insa Fuhrmann, Christian

More information

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100 CSE237a Final Exam Winter 2018 Name: PID: Problem Maximum points Points earned 1 15 2 10 3 15 4 20 5 20 6 20 Total 100 Instructions 1. Write your name on every page. 2. Please make sure your writing is

More information