OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

Size: px
Start display at page:

Download "OpenAccess based architecture for Neolinear s Rapid Analog Design Flow"

Transcription

1 OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design market requires swift response to ever-increasing design complexity and shrinking time-tomarket windows. In this environment, a proprietary database would not only act as a barrier against tool adoption, but its maintenance would divert resources from developing core functionality. This paper describes the new Neolinear software architecture targeting analog and RF design flows. This OpenAccess (OA) based architecture allows Neolinear to quickly deploy its leading edge tools in our dynamic market. I. INTRODUCTION Neolinear s Rapid Analog Design (RAD) flow [1] enables users to achieve design closure with minimal iteration and provides a unified environment encompassing optimization algorithms for sizing, placement and routing. These optimization algorithms optimize the design goals and minimize second order effects to increase yield and manufacturability using design constraints. Analog specific design constraints, both electrical and physical, are shared between the optimization algorithms. The RAD flow must allow new optimization features and constraints to be easily plugged-in. The infrastructure for such a flow must provide: A full-featured, extensible EDA database for stable algorithm development Netlisting and constraint editing features to cater for analog and mixed-signal specific design annotations A scripting interface for designer s extensions and swift product prototyping and development. The core of the architecture uses OA as the Manuscript received March 12, The authors are with the architecture group at Neolinear, Inc., 583 Epsilon Dr., Pittsburgh, PA USA ( bogdan@neolinear.com). in-memory database. Its rich application programming interface (API) and schema features allow fast algorithm development on a standardized API. Furthermore, the OA extensibility API allows custom analog extensions to be developed and possibly migrated to standard OA objects in future versions of the database. The RAD flow requires extensive netlisting abilities and analog-specific constraint and parasitic annotations. We have developed custom netlisting and constraint modules based on OA s Embedded Module Hierarchy (EMH), extensibility, and parasitic APIs respectively. These features and the OA API are accessible for scripting to our customers and tool developers through both a Python binding and a Scheme/SKILL interpreter. While on-disk OA representation is desirable, our architecture also integrates easily with any legacy database through a host EDA system communication protocol without persisting OA data on disk. This protocol allows tools to plug into any custom-design platform (e.g. Virtuoso) through a thin communication layer that converts and synchronizes necessary design data between legacy databases and the Neolinear OA representation. This approach captures the market realities while allowing future-proof development using the OA standard. This paper is organized as follows. Section II describes the software architecture we have implemented for the RAD flow. Subsequent sections describe the major building blocks of the software architecture: the integration layer with legacy EDA systems (Section III), the netlisting and constraints module (Section IV), and the scripting interface we provide (Section V). The paper concludes with an example RAD flow and with algorithm integration details (Section VI). II. RIPLEY: THE BIG PICTURE Neolinear has code named our next generation architecture Ripley. This architecture, and the software packages that implement it, provide the infrastructure that all future Neolinear tools will be built on. The fundamental needs of future Neolinear products addressed by Ripley are: Rich, standard in-memory data model to represent our design data. Unified data model for application and algorithm developers regardless of the underlying native CAD system. Promotion of easy prototyping and rapid application development. Promotion of reusable modular software development practices.

2 RHC Ripley-to-Host Communication NCM Netlisting and Constraints Module Application rpyoa Ripley/ OpenAccess/ Python Interface Scheme/ SKILL Interpreter OpenAccess In-Memory Data Representation Python Scripting Language Figure 1: Ripley Big Picture The basic Ripley architecture, shown in Figure 1, uses OpenAccess [2,3] for the majority of its in-memory representation and information model. The other modules listed (RHC, rpyoa, and NCM) implement the behavioral changes necessary for our RAD flow applications. These modules all work together to meet the design goals for our architecture. OpenAccess and NCM (our customizations on OA for analog netlisting and constraints) provide the rich, standard inmemory data model. RHC (our interface layer to CAD systems) insulates our application and algorithm developers from the details of each CAD system. The rpyoa module provides a rich Python scripting interface to all of Ripley, allowing rapid prototyping by facilitating development in a high level scripting language. The Scheme/ SKILL interpreter, built on top of Python, provides a scripting environment familiar to most current EDA customers. Finally, by providing a well defined API for all application and algorithm development, we encourage reusability in our software modules. III. RHC VS. OA ON DISK The RHC (Ripley-to-Host Communication) module provides the capability for bidirectional communication between a host system and Ripley. These host systems are any of the large design frameworks provided by the largest EDA vendors (i.e. Cadence DFII, Cadence OpenAccess, Synopsys Milkyway, etc.). This methodology allows all data available in-memory through Ripley to be persisted in the host system s native format. This presents a number of advantages: No separate disk files/directories for Neolinear data. Compatibility and interoperability with platforms that do not yet support OpenAccess (or only support older OpenAccess versions). Compatibility with design groups not yet ready to migrate to an OpenAccess-compatible platform (numerous in the analog/ custom design world). These advantages point out the necessity of having a bidirectional communication API between the host system and Ripley. Utilizing a translation step or a one-way bridge (such as the Golden Gate project) does not facilitate the kind of interoperability with legacy frameworks that are required for our applications. The RHC module itself is made up of three primary parts, shown in Figure 2. A standard API for passing data between Ripley and the host is defined, known as the RHC API. It uses the IPC mechanism for its syntax. Neolinear has developed an IPC mechanism, known as Wombeyan, to be slightly more host and platform portable. The RHC API is defined using OpenAccess semantics [2]. Therefore the meaning of terms such as lib/cell/view, net, or instance are all the meanings that OpenAccess uses. These semantics are then translated into the semantics of the host CAD system for read/write on the host side of the RHC. The RHC API provides a set of calls on both the host and Ripley sides. This allows the Ripley RHC - Host Side API: Embedded in Host CAD System (e.g. Cadence DFII) IPC Mechanism: Wombeyan RHC Ripley Side API Figure 2: The Ripley-to-Host Communication Architecture

3 architecture to request information from the host CAD system, as well as allowing the host CAD system to trigger events in Ripley (or any Neolinear products using Ripley). Most communication between the host system and Ripley will happen automatically without the need for particular algorithms to request the information. This is accomplished through extensive use of OA callbacks on data to automatically request and update information from the host CAD system. Leaf OpenAccess cell views in the design hierarchy are implemented by OA pcells that automatically get updated information from the host CAD system whenever parameters are changed or the cell view is re-opened. All of this functionality allows the users of the Ripley architecture to use data in the native OpenAccess framework without any knowledge or responsibility towards the integration with various host CAD systems. It also allows deep integration of Neolinear s products into various host CAD systems without customization of the products themselves, as only the host side of the RHC API must be customized. IV. NETLISTING, PARASITICS AND CONSTRAINTS Custom analog flows need extensive netlisting abilities to size and optimize a circuit, define logical to physical mappings and create a flattened layout. Parasitic models are needed to model the second order effects in order to yield high-performance layout with the minimum number of iterations. Furthermore, design annotations are used in custom design to constrain optimization algorithms and editing features and to tailor the design space based on each designer need and expertise. This chapter describes the use of OA features and custom extensions developed for Ripley to provide the above functionality in our tools. The Embedded Module Hierarchy (EMH) in OA [2] provides an excellent open-ended way to traverse folded layout hierarchies. However, with the current limitations in the OA-2.1 release with respect to configuration traversal and with the variety of host-specific netlisting requirements that Ripley supports, we have developed, based on EMH functionality, a netlisting ability that provides all the required functionality for our flows. In order to support configuration and flattening of the layout at the same time, the Ripley netlister creates an embedded hierarchy where all the netlisted branches are module-only instances (oamodmoduleinst of oamodule [3]) and the leaf nodes are block level instances (oamod- CellViewInst of oacellview [3]). In this way, the connectivity source configuration hierarchy and the flattened layout are stored in the same oacellview in the module and block hierarchies respectively. Moreover, once the embedded hierarchy is created, Ripley is independent of the host CAD system and all the data required for our RAD flow is contained in the OA cell view. At the block level, the layout is flattened correct by construction and the minimum amount of design data needs to be eventually collected from the host CAD system through RHC. Incremental ECO is enabled by fast tree comparison between the folded EMH module tree and the host CAD netlister and propagates to the unfolded block hierarchy. This approach is fully OA compatible, no custom extensions are required. Future OA versions that provide netlisting features will provide an alternative way of building the embedded module hierarchy from native OA data. In such a scenario, ECO becomes a natural OA comparison between two module trees, one from the OA netlister and the other from the one embedded in the Ripley generate cell view. This netlister supports all host CAD system netlisting and configuration that Neolinear supports. The Neolinear RAD flow relies on parasitic models to converge the design through the iterative optimization of the connectivity source and the corresponding layout. To achieve this goal, Ripley architecture employs the oaparasiticnetwork objects to augment the original netlist with passive models of the second order effects. The parasitic networks are generated by our estimators or using host EDA layout extractors. Using the OA parasitic network preserves the LVS integrity with respect to the original host CAD netlist or design configuration. Analog design tools rely on design annotation to capture designer s intent and expertise. In the front end, parameter ranges, device matching and device parameter relationships drive the sizing optimization algorithms. In the back end, users control the placement and routing algorithms using logical-to-physical mappings (a.k.a. physical modules) and geometric constraints (e.g. layout partitioning, symmetry, alignment) to reduce the design space. Front end circuit optimization algorithms annotate the design in the module and eventually in the occurrence domain, because the block domain might not be fully realized at the time of the annotation. These annotations are stored on the OA EMH objects through standard OA extensions such as custom attributes, groups and properties. These extensions are encapsulated in NCM

4 objects, which provide the applications with the required functionality through the object methods but hides the actual storage. Such approach allows easy migration when native OA objects will be available for storage. Logical-to-physical mapping is stored using OA cluster objects. The Ripley architecture relies on a restricted cluster tree structure to define and store both physical module and cell planning information. Physical modules are a collection of block level devices together with arrangement and routing information. The devices are grouped in an OA cluster and the arrangement and routing information are stored on OA extensions. Multiple cluster boundaries provide placement algorithm encapsulation, editing unity and variant computation and selection without geometry generation. The layout hierarchy supported by Ripley architecture can contain any disjoint combination of clusters and block instances. This layout hierarchy enables layout planning editing modes. Custom physical design and especially analog physical design relies on geometric relationship of the block instances. Matching attributes of block instances or clusters are stored using the same extensions used for front-end matching constraints. The most important physical design constraints, namely symmetry and alignment, require OA extensions as of the OA-2.1 release. Both symmetry and alignment rely on an abstract object in the block domain, namely an axis. Given the axis object, symmetry, alignment and ordering have straightforward close-ended mathematical definitions. Symmetry implies mirroring around the axis. Alignments and orderings refer to the axis for offsets and order references. We have defined the axis as an extension OA object oaappobject. The axis has as attributes the direction and an optional support, the latter either a fixed point or relative to a block domain object. The constraints using the new axis objects are collections using the appropriate attribute set, as required. Access to these constraints and the axis objects is encapsulated in a module that hides the implementation detail from the user. This, again, allows easy migration when OA will provide analog constraints support. In summary, the Ripley architecture provides a netlisting and constraints module (NCM) that encapsulates access to OA objects required for custom analog flows and provides consistent netlisting and constraint management features to our optimization algorithms and editing features. The OA cell view data created using Ripley architecture is compatible with any application with the exception of analog constraints, not yet supported by OA. V. SCRIPTING AND INTERFACE The Python scripting language was chosen for its rich library, support for object-oriented programming, and ease of extensibility in C and C++. In addition, using a widely adopted language eliminates the need to develop training materials and reference material on the basics of the language. Python, however, is a relatively young language, and has yet to see adoption by any of the major EDA vendors. However, a number of CAD systems use Lisp or Lisp-like languages as both their data representation and extension language. To provide a familiar interface for these users and to enable the direct-reading of their data files, a Scheme/SKILL interpreter was created. Written in Python, it uses the language s introspection features to provide a direct mapping between Scheme and Python objects. Although it strives to be compatible with the current Scheme specification [4] and the Mz- Scheme implementation [5], some of the functionality is missing (e.g., call-with-current-continuation and the full numeric tower) due to the limitations of Python. The rpyoa Python/OpenAccess binding, written in C++ using the Python-C API [6], exposes the full OA class hierarchy and API. In addition, objects of classes inherited from the oaobject [3] class have a one-to-one mapping between their C++ and Python counterparts; that is, conversion from a C++ object always results in the same Python object, as one would expect if OA were implemented in Python itself. Most of the remaining classes are implemented as immutable types and are converted between Python and C++ though copy-by-value semantics. The remaining classes are the OA callback classes. In order to allow Python extensions to implement callbacks, proxy C++ classes and stub Python classes are used. The proxy classes implement the callback on the C++ side and invoke a Python method (performing the necessary formatting). The stub class provides a base class for the Python callback implementation; the default implementation of each callback method is a no-op. Because Python offers run-time checks not available in C++, most of the extensions to Ripley are expected to be implemented in Python. Only the speed-critical algorithms are expected to be implemented in C++.

5 Circuit Space Prototype Space Annotated Design Automated Design Interactive Automation My Space Sizing/Resizing Design Structure Hierarchy Design Annotation Automation Assisted edits Figure 3: RAD Top-Down Design Flow VI. ARCHITECTURE IMPLEMENTATION AND ALGORITHM INTEGRATION EXAMPLES The Neolinear RAD flow enables users to achieve design closure with minimal iteration and provides a unified environment encompassing optimization algorithms for sizing, placement and routing. Figure 3 illustrates how designers achieve their goal starting from a generic circuit space (an un-sized schematic or netlist) and successively confine this design space into the final design point. The left part of Figure 3 illustrates the design space throughout the design phases: initial circuit sizing provides a design space that the user can prototype by assigning design hierarchy and design specific annotations. Though successive iterations, using constraintdriven placement and routing, constraint and parasitic aware resizing, and incremental changes to design annotation, the designer optimizes the design to a final design point. This point must meet the design specifications and must also encapsulate each user s custom design art. The tools and optimization employed, shown in the right side of Figure 3, communicate and iteratively refine constraints as shown by the arrows to the right of the rectangles. The tools and algorithms in our flow modify the OA database to reduce the design space using netlisting, design annotations and constraints as described in the Ripley software architecture. In order to achieve design space closure, the iterative flow in Figure 4 is proposed. The RAD flow [1] in Figure 4 is a top-down design flow with bottom-up assembly and re-estimation. In this flow, each top-down design step employs Neolinear tools and optimization algorithms to reduce the design space based on the design goals, the parasitic information, and the current set of constraints. The bottom-up verification stage validates the goals and eventually updates the second-order effects and the constraints with more accurate values measured or estimated from the current design point. The user may also customize the design annotation for the subsequent iteration. In what follows we describe how our algorithms are integrated with the Ripley software architecture by means of a simple flow example. In this example, we start from a host CAD schematic representation that we size, layout and route, then we save the resulting layout back in the same host CAD system. The design cellview is created through a RHC call to the host CAD system netlisting. The result of the netlisting creates a module hierarchy in the OA cellview. The leaf nodes of the hierarchy are the devices that need to be sized and laid out by RAD optimization algorithms. In Ripley these leaf device masters are OA pcell cellviews, which allows us, via RHC, to have a bidirectional synchronization and ECO mechanism with the host CAD system. Together with the netlist, we collect all supported annotations that RAD supports, such as host CAD constraints or RAD constraints already stored in the host CAD system in a previous session. The user annotates this embedded module hierarchy with constraints that are stored in OA objects as described in Section IV. Parasitic annotation creates net models using oaparasiticnetwork objects for each flattened net in the design. The RAD sizing algorithm integrated with Ripley collects the netlist information, parasitic and annotation data and employs a third party simulator to yield a sized circuit. Since all devices are OA pcells, the information is automatically annotated into the host system through RHC. Once the circuit is sized, the geometry is generated for each pcell instance using either Neolinear custom devices or the host CAD pcells or cellviews. The user may annotate this design further with physical level constraints and employ automated placement and routing algorithms. These algorithms are constraint and parasitic aware. The resulting placement can be saved into the host CAD system database, together with the annotations, at any time. Further resizing iteration loops are possible upon user decisions, given more accurate parasitic estimation and new design annotations. Integrating a different CAD system with the Ripley architecture requires the ability establish a communication channel through which the netlist, the layout and our annotations can be synchronized between the host CAD system and Ripley. Such a link is not required when the host CAD system also uses OA; Ripley can operate

6 Sizing/Behavioral description Partitioning/Planning: Functional/logical Structural/physical Inter-block and Interconnect constraints Estimators Size Parasitics Congestion Update estimates & contexts, parasitic annotation Functional verification Context validation Block Context Encapsulation Placement & Routing/Polishing Hierarchical Blocks + Context constraints Block Assembly Block Authoring Figure 4: Detailed RAD Design Flow natively on OA libraries (assuming the versions are compatible). Integrating and developing new algorithms for RAD requires the algorithm developers to decide which OA features to support and eventually which extensions are necessary, for the few cases where OA does not provide the required functionality. In our experience, the main problem is not the lack of features in OA but rather keeping up with the meaning of all object attributes such that the resulting database is handled correctly by all tools and eventually third party tools. VII. CONCLUSIONS The Ripley software architecture enables a next-generation Rapid Analog Design flow by leveraging the OpenAccess reference implementation as an in-memory database. Custom modules have been built (1) to support legacy host CAD systems or native on-disk OA libraries, (2) for flow-specific task and consistent algorithm integrations, e.g. netlisting, constraint and parasitic annotation, and (3) to provide a scripting interface for users and tool prototyping. The use of a remote host communication protocol enables synchronization with any host CAD system and allows algorithm developers to focus on using the rich OA API. Finally, the flow example in Figure 4 illustrates how this architecture meets the data communication and integration requirements of our tools. REFERENCES [1] Neolinear, Inc., Rapid Analog Design for A/MS, /solutions/nav/analog, January [2] Silicon Integration Initiative, OpenAccess 2.1: The Standard API for Rapid EDA Tool Integration, SI2, First Edition, October [3] Silicon Integration Initiative, OpenAccess C++ API Documentation, Version 2.1.1, August [4] R. Kelsey, W. Clinger, J. Rees (eds.), Revised(5) Report on the Algorithmic Language Scheme, Higher-Order and Symbolic Computation, Vol. 11, No. 1, August 1998; ACM SIGPLAN Notices, Vol. 33, No. 9, September [5] M. Flatt, PLT MzScheme: Language Manual, doc, March [6] G. van Rossum, F. L. Drake, Jr., Python/C API Reference Manual, Release 2.2, Python Labs, 2001.

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Lecture 6. Tutorial on Cadence

Lecture 6. Tutorial on Cadence Lecture 6. Tutorial on Cadence Virtuoso Schematic Editor Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org Schematic Editor Schematic editor (e.g. Cadence Virtuoso)

More information

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Terry Blanchard Hewlett-Packard Company terry.blanchard@hp.com

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

Collaborative Analog Circuit Development

Collaborative Analog Circuit Development A Schematic Symbol Library for Collaborative Analog Circuit Development Across Multiple Process Technologies Jaeha Kim 1, Metha Jeeradit 1, Aida Varzaghaniaghani 2, Ying-Min Ingrid Huang 3 1 Stanford University,

More information

OpenAccess PCells Ed Petrus VP Engineering V2

OpenAccess PCells Ed Petrus VP Engineering V2 OpenAccess PCells Ed Petrus VP Engineering V2 April 2005 Page 1 Copyright 2005 CiraNova, Inc. What is CiraNova about? 4 CiraNova enables analog designers to create migratable, re-usable analog objects

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

PARAMETRIC MODELING FOR MECHANICAL COMPONENTS 1

PARAMETRIC MODELING FOR MECHANICAL COMPONENTS 1 PARAMETRIC MODELING FOR MECHANICAL COMPONENTS 1 Wawre S.S. Abstract: parametric modeling is a technique to generalize specific solid model. This generalization of the solid model is used to automate modeling

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

Fundamentals of STEP Implementation

Fundamentals of STEP Implementation Fundamentals of STEP Implementation David Loffredo loffredo@steptools.com STEP Tools, Inc., Rensselaer Technology Park, Troy, New York 12180 A) Introduction The STEP standard documents contain such a large

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE Vladimir Emilov Grozdanov 1, Diana Ivanova Pukneva 1, Marin Hristov Hristov 2 1 Smartcom, 7 th km, Tzarigradsko Chausee Blvd, 1784

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Advanced Design System IFF Schematic Translation for Cadence

Advanced Design System IFF Schematic Translation for Cadence Advanced Design System 2001 IFF Schematic Translation for Cadence August 2001 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty

More information

A Top-Down Visual Approach to GUI development

A Top-Down Visual Approach to GUI development A Top-Down Visual Approach to GUI development ROSANNA CASSINO, GENNY TORTORA, MAURIZIO TUCCI, GIULIANA VITIELLO Dipartimento di Matematica e Informatica Università di Salerno Via Ponte don Melillo 84084

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

OBJECT ORIENTED SYSTEM DEVELOPMENT Software Development Dynamic System Development Information system solution Steps in System Development Analysis

OBJECT ORIENTED SYSTEM DEVELOPMENT Software Development Dynamic System Development Information system solution Steps in System Development Analysis UNIT I INTRODUCTION OBJECT ORIENTED SYSTEM DEVELOPMENT Software Development Dynamic System Development Information system solution Steps in System Development Analysis Design Implementation Testing Maintenance

More information

Open Verification Methodology (OVM)

Open Verification Methodology (OVM) Open Verification Methodology (OVM) Built on the success of the Advanced Verification Methodology (AVM) from Mentor Graphics and the Universal Reuse Methodology (URM) from Cadence, the OVM brings the combined

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies Introduction David Kaplan (Cadence Design Systems, Inc.) Sini Mukundan (National Semiconductor, Inc.) OpenAccess plays

More information

Data Management for a Mixed-Signal Design Project with Distributed Teams. Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock

Data Management for a Mixed-Signal Design Project with Distributed Teams. Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock Data Management for a Mixed-Signal Design Project with Distributed Teams Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock 1 Outline Introduction Historical Perspective Requirements

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance

A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance Kazuhiro ODA 1, Louis A. Prado 2, and Anthony J. Gadient 2 1 Toshiba Corp. 580-1, Horikawa-cho, Saiwai-ku,

More information

CAS 703 Software Design

CAS 703 Software Design Dr. Ridha Khedri Department of Computing and Software, McMaster University Canada L8S 4L7, Hamilton, Ontario Acknowledgments: Material based on Software by Tao et al. (Chapters 9 and 10) (SOA) 1 Interaction

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

1. Introduction to the Common Language Infrastructure

1. Introduction to the Common Language Infrastructure Miller-CHP1.fm Page 1 Wednesday, September 24, 2003 1:50 PM to the Common Language Infrastructure The Common Language Infrastructure (CLI) is an International Standard that is the basis for creating execution

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI Department of Computer Science and Engineering IT6801 - SERVICE ORIENTED ARCHITECTURE Anna University 2 & 16 Mark Questions & Answers Year / Semester: IV /

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Rapid Prototyping with APICES

Rapid Prototyping with APICES Rapid Prototyping with APICES Ansgar Bredenfeld GMD Institute for System Design Technology D-53754 Sankt Augustin, Germany bredenfeld@gmd.de http://set.gmd.de/apices APICES is a tool for very rapid development

More information

Software Architecture

Software Architecture Software Architecture Prof. R K Joshi Department of Computer Science and Engineering IIT Bombay What is Architecture? Software Architecture? Is this an Architecture? Is this an Architecture? Is this an

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Vision System Development Through Separation of Management and Processing

Vision System Development Through Separation of Management and Processing Vision System Development Through Separation of Management and Processing Amir Afrah, Gregor Miller and Sidney Fels Electrical and Computer Engineering University of British Columbia 2332 Main Mall, Vancouver,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

1 Executive Overview The Benefits and Objectives of BPDM

1 Executive Overview The Benefits and Objectives of BPDM 1 Executive Overview The Benefits and Objectives of BPDM This is an excerpt from the Final Submission BPDM document posted to OMG members on November 13 th 2006. The full version of the specification will

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office. Modular SystemC is a set of modules related to SystemC TM (IEEE 1666-2005) aimed at fulfilling teambased training requirements for engineers from a range of technical backgrounds, i.e. hardware and software

More information

Chapter Twelve. Systems Design and Development

Chapter Twelve. Systems Design and Development Chapter Twelve Systems Design and Development After reading this chapter, you should be able to: Describe the process of designing, programming, and debugging a computer program Explain why there are many

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Interoperable Cloud Storage with the CDMI Standard. Mark Carlson, SNIA TC and Oracle Co-Chair, SNIA Cloud Storage TWG

Interoperable Cloud Storage with the CDMI Standard. Mark Carlson, SNIA TC and Oracle Co-Chair, SNIA Cloud Storage TWG Interoperable Cloud Storage with the CDMI Standard Mark Carlson, SNIA TC and Oracle Co-Chair, SNIA Cloud Storage TWG SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA.

More information

SAMPLE. Preface xi 1 Introducting Microsoft Analysis Services 1

SAMPLE. Preface xi 1 Introducting Microsoft Analysis Services 1 contents Preface xi 1 Introducting Microsoft Analysis Services 1 1.1 What is Analysis Services 2005? 1 Introducing OLAP 2 Introducing Data Mining 4 Overview of SSAS 5 SSAS and Microsoft Business Intelligence

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

CSCI Object Oriented Design: Frameworks and Design Patterns George Blankenship. Frameworks and Design George Blankenship 1

CSCI Object Oriented Design: Frameworks and Design Patterns George Blankenship. Frameworks and Design George Blankenship 1 CSCI 6234 Object Oriented Design: Frameworks and Design Patterns George Blankenship Frameworks and Design George Blankenship 1 Background A class is a mechanisms for encapsulation, it embodies a certain

More information

Electrical Wire Routing

Electrical Wire Routing Electrical Wire Routing Page 1 Overview Conventions What's New? Getting Started Accessing the Workbench Creating the Bundle Selecting Systems with External Data Routing Wires from External Data User Tasks

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

Electrical engineering. data management. A practical foundation for a true mechatronic data model

Electrical engineering. data management. A practical foundation for a true mechatronic data model W H I T E P A P E R Z u k e n T h e P a r t n e r f o r S u c c e s s Electrical engineering data management A practical foundation for a true mechatronic data model d a t a m a n a g e m e n t z u k e

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

XML-based production of Eurostat publications

XML-based production of Eurostat publications Doc. Eurostat/ITDG/October 2007/2.3.1 IT Directors Group 15 and 16 October 2007 BECH Building, 5, rue Alphonse Weicker, Luxembourg-Kirchberg Room QUETELET 9.30 a.m. - 5.30 p.m. 9.00 a.m 1.00 p.m. XML-based

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Introduction. Seeing the Elephant. PLM Data Migration happens rarely at a company, but is very difficult to plan and design.

Introduction. Seeing the Elephant. PLM Data Migration happens rarely at a company, but is very difficult to plan and design. Introduction Seeing the Elephant PLM Data Migration happens rarely at a company, but is very difficult to plan and design. 1 14 Approach The Iterative Nature of the Process The design of the new PLM implementation

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 4: CAD tools Dr. Mohamed Abd El Ghany, Introduction to CAD Tools The preceding lectures introduced a basic approach for synthesis of logic circuits. A designer could use

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Creating Enterprise and WorkGroup Applications with 4D ODBC

Creating Enterprise and WorkGroup Applications with 4D ODBC Creating Enterprise and WorkGroup Applications with 4D ODBC Page 1 EXECUTIVE SUMMARY 4D ODBC is an application development tool specifically designed to address the unique requirements of the client/server

More information

Migrating to Object Data Management

Migrating to Object Data Management Migrating to Object Data Management Arthur M. Keller * Stanford University and Persistence Software Paul Turner Persistence Software Abstract. We discuss issues of migrating to object data management.

More information

An Introduction to Software Architecture. David Garlan & Mary Shaw 94

An Introduction to Software Architecture. David Garlan & Mary Shaw 94 An Introduction to Software Architecture David Garlan & Mary Shaw 94 Motivation Motivation An increase in (system) size and complexity structural issues communication (type, protocol) synchronization data

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

Service-Oriented Architecture (SOA)

Service-Oriented Architecture (SOA) Service-Oriented Architecture (SOA) SOA is a software architecture in which reusable services are deployed into application servers and then consumed by clients in different applications or business processes.

More information

CIS 1.5 Course Objectives. a. Understand the concept of a program (i.e., a computer following a series of instructions)

CIS 1.5 Course Objectives. a. Understand the concept of a program (i.e., a computer following a series of instructions) By the end of this course, students should CIS 1.5 Course Objectives a. Understand the concept of a program (i.e., a computer following a series of instructions) b. Understand the concept of a variable

More information

A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes

A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes Guillaume Godet-Bar, Magillem Design Services, Paris, France (godet-bar@magillem.com) Jean-Michel Fernandez,

More information

AOSA - Betriebssystemkomponenten und der Aspektmoderatoransatz

AOSA - Betriebssystemkomponenten und der Aspektmoderatoransatz AOSA - Betriebssystemkomponenten und der Aspektmoderatoransatz Results obtained by researchers in the aspect-oriented programming are promoting the aim to export these ideas to whole software development

More information

Leveraging 2D Data in 3D Modeling

Leveraging 2D Data in 3D Modeling Leveraging D Data in 3D Modeling Leveraging D Data in 3D Modeling As more and more companies switch to 3D modeling the question of utilizing existing D data and referenced D data from collaborative sources

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information