Chapter II HARDWARE AND SOFTWARE DETAILS

Size: px
Start display at page:

Download "Chapter II HARDWARE AND SOFTWARE DETAILS"

Transcription

1 27 Chapter II HARDWARE AND SOFTWARE DETAILS 2.1 INTRODUCTION In this Chapter, the details of the hardware and software employed for carrying out the proposed research work, i.e. development of pre-processing and post-processing techniques for testing in the real-time environment with the goal to achieve better AFIS performance, are presented. Since AFIS involves hardware features like, sensor, digital signal processor (DSP) and computer interface, which requires the basic understanding and hands-on experience for the researcher in this field to interface and test the different hardware for the intended research work. Similarly, algorithm development requires thorough understanding of the software platforms viz., MATLAB, Code Composer Studio (CCS) and C/C++. Correspondingly, all these hardware and software features are dealt in detail. The design of the optimum AFIS system depends on the various specifications of the hardware and software characteristics like, ruggedness, speed, service support, easy integration, ergonomic design, testing, etc., since the design and implementation of an AFIS solution for a particular application are determined by the following criteria: Detailed requirement analysis Workflow based on change in management analysis and planning System hardware and network architecture design System software and middleware architecture design Infrastructure and facilities design and implementation Raw data acquisition facilities Database creation and consolidation facilities Special feature development and customization System deployment and integration Complete system testing and tuning

2 28 Training phase Start-up support facilities Maintenance facilities Customer care facilities With these design and implementation criteria, the related hardware and software details involved in the proposed work are discussed in the following sections. 2.2 OVERVIEW OF FINGERPRINT SENSORS In the year 1960, the United States and Japanese initiated projects to develop automated fingerprint identification system, owing to the requirements in automation of biometric sensors [109]. During this time, there were no specific standards recommended for the quality of the fingerprint sensors. Federal Bureau of Investigation (FBI) recommended certain specification for the quality of the fingerprint scanners called, Fingerprint Transmission Specification (FTS). In this, they included six parameters for the image quality standards such as geometric image accuracy (ability to maintain same relative distances between two minutiae points), modulation transfer function (ability to capture both high and low frequency information such as ridges and ridge ending, respectively), signal-to-noise ratio (ability to discard noise during image capture), gray-scale range (ensuring uniformity in gray scale of the fingerprint image), gray-scale linearity (maintaining linearity in gray scale throughout all shades of gray) and output gray-level uniformity (ability to maintain continuous gray scale throughout the input image having single gray level) [109, 110].Today, fingerprint sensors are available in a variety of shapes and sizes, but they can be classified into two main categories viz., scan area (press type) and swipe type sensors [ ]. In press sensor, the user places and holds finger on the scan area or sensor surface to transfer the impression of finger ridges. The press sensors are larger in height and width than the swipe sensor. Press sensors are available in many types like, FTIR optical, electro optical, optical fiber CMOS, thermal, pressure, etc. Swipe sensors are used in portable consumer electronics equipment, due to its reduced size and shape. Users

3 29 required some basic training and practice to capture the fingerprint images. In this sensor the user slides a finger vertically over the surface of the sensor to transfer the data [113]. Swipe sensor is available in as capacitive swipe sensor. Figure 2.1 shows the different types of fingerprint sensors used in AFIS for capturing the fingerprint. (a) (b) (c) (d) (e) (f) (g) (h) (i) (j) (k) Figure 2.1: Different types of Fingerprint sensors (a) FTIR Optical sensor, (b) FTIR Optical sensor without lens, (c) Optical fiber CMOS sensor, (d) Electro Optical sensor, (e) capacitive sensor, (f) Ultrasound sensor, (g) capacitive swipe sensor, (h) RF Sensor, (i) Thermal sensor, (j) Pressure sensor and (k) MEMS capacitive fingerprint sensor. Several types of fingerprint sensing equipment were developed with high accuracy, resolutions, low-power consumption, high-speed capturing, contactless scanning, single-chip capturing, and MEMS using solid state sensor technology [ ]. These sensors provide only a small fingertip contact area of can able to acquire pixels at 500 dpi, that is a small portion of the actual fingerprint pattern

4 30 [120], whereas an optical sensor provides a contact area of 1 1 with an image size of pixels at 500 dpi. Thus, the number of minutiae points that can be extracted from a fingerprint using solid-state sensors is smaller than the optical sensors Static and Non-Static Fingerprint Reader The fingerprint sensor listed above can be classified into two main categories and are given below: Fingerprint sensor with motionless finger called static (Optical, thermal, RF, pressure, ultrasonic, and hybrid sensors) Fingerprint sensor with motion finger called non-static (capacitive swipe sensor) In a static fingerprint sensor, the user should place the finger without motion while placing on the sensor surface. The sensor array must be as large as the area of the image to be captured. Conversely, in the case of non-static sensors, the user is allowed to move the finger over the surface of the sensor. Here, swipe area is lesser than the image to be captured. In this research work, three types of fingerprint capturing devices were used for testing various matching operations, such as pre-processing, post-processing and interoperability of sensors Specification of the Fingerprint sensors Many fingerprint sensing equipments were manufactured by biometrics industries, of which three sensors used in this work are shown in Figure 2.2 [ ]. 1. Futronics optical press sensor with the size of pixels and resolution of 500 dpi. 2. Eikon capacitive swipe fingerprint sensor with the resolution of 508dpi. In literatures, more discussions were made with these two sensors (swipe and press), owing to the changes in image formation of the same finger, which leads to variation in

5 31 matching. The specifications of these sensors are summarized in the following subsections. (a) (b) Figure 2.2: Two types of Fingerprint Sensors (a) Futronics FS80-Optical Fingerprint Scanner and (b) Eikon Capacitive swipe fingerprint reader Futronics Futronics FS80 USB2.0 Fingerprint Scanner [121] uses an advanced CMOS sensor technology and a precise optical system to deliver high quality fingerprint image. This sensor belongs to the static optical type sensor as shown in Figure 2.2(a). It can capture an almost un-distorted raw fingerprint image into PC within 100 ms and is good for any fingerprint recognition applications. The finger scanning window is made of crown glass with a thickness of 14 mm. It is much more reliable and robust, as compared to any semiconductor type fingerprint sensor. For acquiring the fingerprint image, the finger is illuminated by 4 infra-red LEDs during scanning and the light intensity is automatically adjusted according to characteristics of the scanned fingerprint (wet, dry, blurred, etc.) for optimizing the quality of the captured fingerprint image Eikon The Eikon from Digital Persona is a swipe fingerprint [122] capacitive sensor [123], which has a built-in USB 2.0 interface as shown in Figure 2.2(b). This USB fingerprint sensor provides quick and reliable biometric authentication to desktop or network resources. The USB fingerprint sensor use the same patented technology built into many

6 32 of today's fingerprint-enabled notebook PC's, as well as many mobile phones, keyboards, POS terminals, door locks, handheld ID terminals, etc. This swipe sensor provides better authentication at low cost. 2.3 SOFTWARE BASED HIGH LEVEL DESIGN TOOLS In this research work, DSP based algorithms development and testing were carried out for understanding the real-time implementation issues. DSP has many advantages, but it has limited acceptance, owing to its software based design flow using C, C++ and MATLAB file with a Simulink code generator. Practically, DSP programmers find it very challenging when it comes to the hardware implementation and this becomes more difficult when looking for DSP solution. There have been several alternatives that improve the design flow problems by incorporating a C-based design flow option that mirrors the traditional DSP design flow. These tools are supposed to automate the process of conversion of software based designs into hardware languages, but still there are many limitations in terms of how to write code in such a way that makes this transition with MATLAB Simulink seamless. For example, recursive functions cannot be converted to hardware using these tools. The specifications of the hardware and software tools employed in this work are presented in the following sub-sections MATLAB MATLAB is a high level technical computing language and algorithm development tool that can be used in several applications [ ] such as data visualization analysis, numerical analysis, signal processing, image and video processing, control design, etc. Using the MATLAB software, solution can be achieved faster than traditional programming languages such as C and C++ [128] employing its internal inbuilt functions. Add on toolboxes are a collections of special purpose MATLAB functions files [129] that extend the MATLAB capabilities to solve particular classes of problems in typical application areas. MATLAB provides a number of features, of which the most important are summarized below:

7 33 Integrated development environment for managing code, files, and data Interactive tools for iterative exploration, design, and problem solving Mathematical functions for linear algebra, statistics, Fourier analysis, filtering, optimization, and numerical integration 2-D and 3-D graphics functions for visualizing data Tools for building custom graphical user interfaces Functions for integrating MATLAB based algorithms with external applications and languages, such as C and C++. The MATLAB language is a high-level language with control flow statements, functions, data structures, input, output and object-oriented programming features [ ].The available libraries are vast collection of computational algorithms from basic functions such as arithmetic and trigonometric functions to complex functions such as matrix operations and Fourier transforms. In this research work, we used the Simulink add-on tool to import DSP block set library [133, 134]. Further, we used MATLAB to develop source codes for memory pattern generation to solve data placement problem for on-chip memories Simulink Simulink is a software tool from MATLAB for modeling, simulating, and analyzing dynamic systems [ ]. Simulink block set based MATLAB algorithm development tool can be used in numerous applications [ ]. The DSP system generator runs as part of Simulink [134]. The system generator bundles as the DSP block set that appears in the Simulink library browser. Simulink design works within the system generator creates the executable files at floating-point precision without hardware specifications. Then, the system generator specifies the hardware details for specific DSP device implementation based on the functionality and basic dataflow description. For producing highly optimized DSP blocks, the system generator utilizes the DSP block set for Simulink that invoke the specified DSP family Core Generator, automatically.

8 34 The Simulink/MATLAB tool is capable of generating the C and C++ language code for DSP [146, 147] through the Real Time Workshop (RTW). Real Time Workshop is an extension of capabilities found in Simulink and MATLAB to enable rapid prototyping of real-time software applications on a variety of systems [129]. The RTW also uses the Embedded Target for Texas Instruments (ETTI) C6000 DSPs [148]. The ETTI blocks simplify the design task, since they represent DSK resources like the ADC, DAC, LEDs, etc. to enable the communication channels between the personal computer, the host, and the DSK. In this work, Simulink is used to generate models that can be loaded and tested in the DSK. Figure 2.3: Simulink DSK block resources Figure 2.3 presents the target support processors from Texas Instruments C2000, C5000 and C6000 platform series. Another block included in Simulink that is part of the ETTI is RTDX Instrumentation [ ]. This block-set gives us support to send or

9 35 receives the data from the DSK. PC link between host Simulink and the DSK using the RTDX block presents in C2000 platform in the Simulink library browser C and C++ Design Tools Writing in C/C++ language has been the traditional approach for DSP processors and DSP algorithms [146]. This is an alternative approach for using MATLAB coding [153, 154], mainly due to the fact that there are several design tools that can be used to generate a hardware description of these software programs. These tools are becoming smarter to infer the parallelism inherent to the C code. Consequently, they make it easier to create the transition from software to hardware platforms. There are many variations for these software tools. The ideal case is to be able to convert C to hardware description languages such as DSP, HDL, etc. [155] that are natural platforms to make hardware. However, this is not a fully automated process yet and there is a lot of manual modification required for hardware implementation. Unfortunately, there is no standard and every tool provider provides their own language constructs and follow their own syntax. On the other hand, the hardware code generation depends on the target platform and again every tool manufacturer provides its own library for different hardware platforms. The idea behind all these tools is to make hardware platforms available to application programmers by raising the abstraction level from hardware to software algorithms. There are two major categories among all these toolsets: System C languages and the C to DSP languages that are capable of generating the DSP code for either a specific hardware or a generic hardware platform. System C, defined by the Open System C Initiative (OSCI), is based on event driven simulation scheme [156]. It allows the designers to simulate concurrent processes using C++ syntax. System C processes can communicate in a simulated real-time environment, using signals of all the data types offered by C++ [157, 158]. In some respects, System C imitates the parallelism embedded in the hardware description languages, but it is still described as a system level modeling language. System C includes DSP features such as clock cycle accuracy, hierarchical modeling, multi-value logic, delta cycles, resolution function, etc. System C allows the designers to define

10 36 modules just like DSP CCS supported languages [134] and it sets up the communication among modules through ports and the order that is defined through the hierarchy. Also, processes are the main communication elements and they are all concurrent. The communications between modules are either via signals, buses or FIFOs. 2.4 AN OVERVIEW OF DIGITAL SIGNAL PROCESSOR DSP is one of the most powerful technologies that will shape science and engineering in the twenty-first century. Revolutionary changes have already been made in a broad range of fields, such as communication, medical imaging, radar and sonar, high fidelity music reproduction and oil prospecting [159, 160]. Each and every area has been developed with specific DSP technology comprising of its own algorithms, mathematics and specialized techniques. DSP skill development can be attained through two modes, viz., learning general concepts in the broad field and applying specialized techniques for the particular area of interest [161]. Digital Signal processing is one of the fastest growing applications in communication applications, like data communications, wireless communications, telecommunications, image and video processing, voice recognition systems, etc. [160]. High performance digital signal processors (DSPs) are not well suited to all DSP applications and there is no single DSP processor that can accommodate all applications. In general, DSP processor architectures are designed for general applications and may not be fast enough or cost effective for specific needs. Most of the digital signal processors are designed for performing continuous mathematical manipulation on data applied in real time. Some of the important functions [162] that are specifically designed for signal and image processing applications using DSPs are summarized below: Finite impulse response (FIR) filter. Infinite impulse response (IIR) filter. Transforms like discrete cosine transform (DCT). Inverse discrete cosine transforms (IDCT). Fast Fourier transforms (FFT).

11 37 Convolution. Correlation. Decoders and Encoders. Viterbi decoder. Most of the above said DSP functions involve multiplication and addition operations (multiply accumulate or MAC operation) on the incoming data with either some constant coefficients or internal feedback mechanism to perform a specific application. Consequently, most of the general-purpose DSP processors have built in multiply accumulate (MAC) engines to perform the mathematical operations. To reduce the cost and to improve the performance of the specific application, application specific integrated circuits (ASICs) can also be used. Further, field programmable logic array (FPGA) offers the better of the two technologies with reconfigurable feature of the hardware platform [163], whereas the DSP processor has the limitation of hardware resources such as MAC engines. Conversely, FPGAs offer sufficient capacity to fit plenty of MAC processors into a single device as well as facility to configure the FPGA fabric as MAC processors Internal DSP Filter designs FPGAs are being increasingly used for a variety of computationally intensive applications, especially in the realm of digital signal processing (DSP) [163]. Due to rapid advancements in fabrication technology, the current generation of FPGAs contains a large number of configurable logic blocks (CLBs). Highest non-recurring engineering (NRE) costs and long development time for application specific integrated circuits (ASICs) makes attractive for application specific to DSP solutions. Finite impulse response (FIR) filters are prevalent in signal processing applications. These filters are major determinants of the performance and of the device power consumption. Therefore, it is important to have good tools to optimize FIR filters. Moreover, the techniques can be incorporated in building other complex DSP functions, e.g., linear systems like FFT, DFT, etc. Most of the DSP-design techniques that are currently in use are targeted towards hardware synthesis and do not consider the features of the FPGA architecture

12 38 [ ], specifically. Many signal processing based on DSP design techniques is developed with several filter architectures [ ]. DSP based design techniques in image processing are very less to identify because of the complexity of logic blocks in the registers to configure the memory allocation. The hardware platforms available for implementing the real time image or signal processing applications are Application-Specific Integrated Circuit (ASIC), DSP (Digital Signal Processor), FPGA (Field Programmable Gate Array), MCU (Micro Controller Unit) and RISC (Reduced Instruction Set Computer) [171]. Among the above mentioned hardware platforms, FPGAs and DSPs offer unique and different options for signal and image processing. The DSPs will continue to be used for many of the today's challenging signal processing applications. The DSPs are especially designed for signal processing applications. They provide good flexibility in real time environment. But, FPGAs are not as much flexible as DSPs in real time aspect. Therefore, DSP is used for the implementation of the embedded fingerprint recognition systems DSP Design Flow Developing a methodology for the hardware implementation of complex DSP applications on a reconfigurable logic could be a challenging task due to the integration of several design tools needed in the process. One of the most challenging processes in system design is identifying a starting point. Methodologies help us to handle complex designs efficiently, minimize design time, eliminate many sources of errors, minimize the manpower needed to complete the design, and generally produce the optimal solution designs [172]. The benefits of adopting such a methodology absolutely outweigh its development costs. Designing DSP algorithms is a quite challenging task. The natural paths of DSP algorithms are to use software based languages such as C or C++ and implement the algorithms on DSP processors [173, 151]. The conversion of a software based algorithm to hardware is an automated process. The DSP algorithms are designed in MATLAB, owing to the availability of several signal and image processing mathematics as library

13 39 functions. Figure 2.4 shows the DSP design flow using several tools offered by DSP and MATLAB. A MATLAB [129] algorithm can be converted to register transfer level (RTL) using DSP design tools or it can be combined with Simulink blocks. DSP library is used to implement complex DSP algorithms such as filters that can be used in any design. Coregen is a parameterized tool that can generate complex functions. A Simulink design can be converted to RTL automatically using a System generator tool. System Generator is a DSP design tool in Simulink that allows the usage of Math Works model based designs [163]. Designs are performed from DSP Simulink modeling environment using a specific block set. The DSP Simulink block set is a highly parameterized library that includes DSP functions and algorithms. More than 90 DSP building blocks are available in the DSP block-set, which comprise of common DSP building blocks such as adders, multipliers, and registers. Further, a set of complex DSP building blocks such as forward error correction blocks, FFTs, filters, etc. is available in the block set. These blocks control the code generators that provide optimized results for the selected device. Figure 2.5 shows a snapshot of a Simulink DSP design that represent as DSP blocks. MATLAB v7 Algorithm Synthesis Tool Simulink v7 DSP Library System Generator DSP Tools DSP RTL DSP Code Figure 2.4: DSP design flow using MATLAB/Simulink v2007 with DSP

14 40 The software automatically converts the high level system DSP block diagram to RTL. The result can be synthesized to DSP technology using MATLAB function files. System Generator provides a system integration platform for the design of DSP on Simulink, MATLAB, and C or C++ components of a DSP system to come together in a single simulation and implementation environment. System Generator supports a black box that allows RTL to be imported into Simulink and co-simulated. System Generator also supports the inclusion of an embedded processor running in C or C++ programs The DSP Library Figure 2.5: A snapshot of a Simulink DSP design The DSP Library (DSPLIB) includes many C-callable, assembly-optimized, generalpurpose signal-processing and image or video processing routines [134, 174]. These routines are typically used in computationally intensive real-time applications where optimal execution speed is critical. By using these routines, the designer can achieve

15 41 execution speeds considerably faster than equivalent code written in standard C language. In addition, by providing ready-to-use DSP and image and video processing functions, DSPLIB and IMGLIB can significantly shorten the application development time Types of Digital Signal Processors There are several DSPs available from different manufacturers like Intel, Texas, Analog, Free scale, Microchip, Zilog, Cirrus Logic, Motorola, etc. Since, this work mainly using the Texas Instruments based DSP chips and hence the various types and their features are discussed here. Texas Instruments launched the first single-chip Digital Signal Processor (DSP) TMS320in 1982, which provides designers an accelerated next-generation, breakthrough systems as well as complementary technology and support [175]. DSPs are unique microprocessors that are programmable and operate in real-time much faster than general-purpose microprocessors. The most common sizes of RAM are 24 kb, 64 kb, 576 kb and 125 MB. The digital signal processors with RAM sizes up to 1 GB are available. Flash sizes can range from 8 B to 1 GB, with the most common sizes being 8 B and 4 kb. The TMS320 DSP family offers the most extensive selection of DSPs available anywhere with a balance of general-purpose and application-specific processors to fit for application needs. Presently, there are three platforms of TMS320 series available for the designers and are given below: TMS320C5000 DSP Platform TMS320C2000 DSP Platform TMS320C6000 DSP platform Each TMS320C series has its own properties with different fixed and floating point arithmetic support. Floating-point arithmetic is a more flexible and general mechanism than fixed-point. With floating-point, system designers have access to wider dynamic range [176]. As a result, floating-point DSP processors are generally easier to program than their fixed point counterparts, but more expensive and higher power consumption devices.

16 Choosing the Technology and Processor With the growth of DSP platforms, choosing the instruments and the technology is a very competitive factor. However, typically in most DSP designs, more than one processor technology can be used to implement the required functions. To choose a particular DSP, the important parameters taken into consideration are the high performance, size, power consumption, extra features, software and tools to get the process done fast without any breaking. Almost two decades of development, digital signal processors continue to take the leading position than the other competitive processors and thus DSPs are the center of signal processing. Choosing the right DSP processor for a particular work depends heavily on the applications. One processor may perform well for some applications, whereas the others may exhibit poor performance due to the type and the requirements of those applications. Hence, processors are chosen for a particular application based on the clock speed (100 Hz, 100 MHz, 150 MHz, 1000 MHz), RAM size (1 kb, 24 kb, 576 kb), Data Bus Width (from 8 B to 480 MB), ROM Size (96 B, 576 B, 96 kb,) I/O Voltage (up to 3.3 kv) and other features[176]. Among the three platforms the TMS320C6000 platform was employed in this research work and their features are discussed in the following subsections TMS320C6416 DSK The TMS320C6416 is a fixed-point DSP that offers the industry's highest level of performance to address the demands of the digital age. At the clock rate of up to 1 GHz, C6416 DSPs can process information at 8000 MIPS (million instructions per second). In addition to a high clock rate, C6416 DSP can perform high clock cycles with built-in extensions [152, 177]. These extensions include new instructions to accelerate performance in key application areas such as digital communication infrastructure, video and image processing. Figure 2.6 shows the target support processors from Texas Instruments C6416 platform block-sets.

17 43 Figure 2.6: Snapshot of Texas Instruments TMS320C6416 DSK block-sets in MATLAB Simulink TMS320C6713 DSK For designers of high-precision applications, C6713 floating-point DSPs offer the speed, precision, power savings and dynamic range to meet a wide variety of design requirements. These dynamic DSPs are the ideal solution for demanding applications like audio, medical imaging, instrumentation and automotive [178, 179]. The internal architecture of the TMS320C6713 DSK series is shown in Figure 2.7. Figure 2.7: TMS320C6713 DSPs two-level cache memory structure

18 44 The C6713 has the DSP block-sets in MATLAB Simulink environment. Figure 2.8 shows the target support processors from Texas Instruments C6713 platform blocksets. Figure 2.8: Snapshot of Texas Instruments TMS320C6713 DSK block-sets in MATLAB Simulink Hardware Features The hardware features of C6713 DSP [180] are summarized below: Up to 1350 MFLOPS at 225 MHz 100% code-compatible with 32-bit instructions, single and double precision C6000 DSP platform advanced VLIW architecture Two inter-integrated circuit (I 2 C) bus interfaces Two multi-channel buffered serial ports (McBSPs) Up to 256 kbytes of on-chip memory 16-channel DMA controller Up to eight 32-bit instructions executed each cycle Eight independent, multipurpose functional units and 32-bit (thirty-two) registers Advanced DSP C compiler and assembly optimizer maximize efficiency and performance Support IEEE floating-point format Packaging: 27/35-mm BGA and 28-mm TQFP options

19 Software Features TMS320C6713 specific Code Composer Studio v 3.1 from Texas Instruments The Test/sample code provided to reduce coding time Compatible with JTAG emulators from Spectrum Digital Compatible with Win 98 SE/2000/XP 2.5 THE CODE COMPOSER STUDIO (CCS) The CCS is an integrated development environment (IDE) for programming the Texas Instruments based DSP chips. CCS allows programming DSPs and microcontrollers using C, C++ and assembly languages using the tools for code generation, such as a C compiler, an assembler, and a linker. It has graphical features that support the real time debugging of the developed programs [181, 182]. The CCS is used as an interface between Simulink and the DSK through MATLAB to develop new algorithms in the DSK [134]. The CCS is used to build the project from the model generated by Simulink for real time debugging. The projects generated by the CCS need to be modified in order to adjust some configuration parameters in the DSK CCS Development Flow The development flow of most DSP based applications consists of four basic parameters viz., application designs, code creation, debug, and analyses/tune. It is diagrammatically shown in Figure 2.9. Code Composer Studio is the key element of TI s express DSP software and development tools, which integrate many of the tools needed to assist the developer in the development flow. The basic procedures and techniques in program development flow are given in detail in the user guide [183]. Application Designs Code Creation Configuration file Debug Syntax-checking, logging, etc. Analyze and Tune Figure 2.9: Simplified Code Composer Studio Development Flow

20 Versions available in CCS Different types of DSP platforms are developed for specific applications using particular CCS version [ ].The difficulties in DSP development board with CCS versions give the compatibility issues. CCS v3.1 is specially designed for TMS320C6713 and TMS320C6416 DSK [190]. In this research, we employed the code composer studio CCS v3.1 to perform the entire program development Code Composer Studio v3.1 The main window of Code Composer Studio v3.1 is shown in Figure 2.10 that provides some of the basic features and functionalities to create and build a project [191, 192]. Figure 2.10: Snapshot of Code Composer Studio CCS v3.1

21 RTDX Channels Real-time study can be achieved using real-time data exchange (RTDX) channels. RTDX allows the data communications between the PC and the DSK as shown in Figure 2.11, and checks the target in real time without stopping the process [133, 134]. The performance can be examined in real time through the USB port and the TMS320C6713 DSK Joint Team Action Group (JTAG) communication interface with on-chip emulation support. Figure 2.12 shows the RTDX block-sets in Simulink library browser which is used to access the images from file and receives the processed images from embedded function file. With the use of MATLAB/Simulink, CCS v3.1, C6713 DSK and RTDX channels performs the effective communications between the user and the DSK. Figure 2.11: Data communication between MATLAB/Simulink, CCS and DSP through real-time data exchange (RTDX) Figure 2.12: Snapshot of Texas Instruments RTDX block-sets in MATLAB/Simulink

22 48 Code Composer Studio v3.1 comprises of a suite of tools used to develop and debug embedded applications. It includes a compiler, source code editor, project build environment, debugger, profiler and many other features. The instinctive IDE provides a single user interface through each step of the application development flow. Familiar tools and interfaces allow users to get started faster than ever before and add functionality to their application to sophisticated productivity tools. Summary This Chapter covers the basic principle and the types of scanning devices employed in AFIS with particular attention to the specific devices used in this work. Then, the technicalities and software details of the MATLAB and the method of using the same in the program development of the proposed research work are covered. Further, the hardware details of the DSP chips used in this work with the programming details are outlined.

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

Digital Signal Processor 2010/1/4

Digital Signal Processor 2010/1/4 Digital Signal Processor 1 Analog to Digital Shift 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments DVD player Air conditioner (controller) Digital Camera MP3 audio

More information

2008/12/23. System Arch 2008 (Fire Tom Wada) 1

2008/12/23. System Arch 2008 (Fire Tom Wada) 1 Digital it Signal Processor System Arch 2008 (Fire Tom Wada) 1 Analog to Digital Shift System Arch 2008 (Fire Tom Wada) 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments

More information

Embedded Target for TI C6000 DSP 2.0 Release Notes

Embedded Target for TI C6000 DSP 2.0 Release Notes 1 Embedded Target for TI C6000 DSP 2.0 Release Notes New Features................... 1-2 Two Virtual Targets Added.............. 1-2 Added C62x DSP Library............... 1-2 Fixed-Point Code Generation

More information

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing IEEE TRANSACTIONS ON EDUCATION, VOL. 43, NO. 1, FEBRUARY 2000 19 Rapid Prototyping System for Teaching Real-Time Digital Signal Processing Woon-Seng Gan, Member, IEEE, Yong-Kim Chong, Wilson Gong, and

More information

High Level Abstractions for Implementation of Software Radios

High Level Abstractions for Implementation of Software Radios High Level Abstractions for Implementation of Software Radios J. B. Evans, Ed Komp, S. G. Mathen, and G. Minden Information and Telecommunication Technology Center University of Kansas, Lawrence, KS 66044-7541

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

A NOVEL BASED METHOD TO DESIGN A 4G NETWORK AND TO IMPLEMENT IN REAL TIME USING DSP INTERFACE

A NOVEL BASED METHOD TO DESIGN A 4G NETWORK AND TO IMPLEMENT IN REAL TIME USING DSP INTERFACE aerd Scientific Journal of Impact Factor(SJIF): 3.134 e-issn(o): 2348-4470 p-issn(p): 2348-6406 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 A NOVEL

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

A framework for automatic generation of audio processing applications on a dual-core system

A framework for automatic generation of audio processing applications on a dual-core system A framework for automatic generation of audio processing applications on a dual-core system Etienne Cornu, Tina Soltani and Julie Johnson etienne_cornu@amis.com, tina_soltani@amis.com, julie_johnson@amis.com

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Cache Justification for Digital Signal Processors

Cache Justification for Digital Signal Processors Cache Justification for Digital Signal Processors by Michael J. Lee December 3, 1999 Cache Justification for Digital Signal Processors By Michael J. Lee Abstract Caches are commonly used on general-purpose

More information

Choosing a Micro for an Embedded System Application

Choosing a Micro for an Embedded System Application Choosing a Micro for an Embedded System Application Dr. Manuel Jiménez DSP Slides: Luis Francisco UPRM - Spring 2010 Outline MCU Vs. CPU Vs. DSP Selection Factors Embedded Peripherals Sample Architectures

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN - FRBA 2011 www.electron.frba.utn.edu.ar/dplab Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance Separating Reality from Hype in Processors' DSP Performance Berkeley Design Technology, Inc. +1 (51) 665-16 info@bdti.com Copyright 21 Berkeley Design Technology, Inc. 1 Evaluating DSP Performance! Essential

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

Xilinx DSP. High Performance Signal Processing. January 1998

Xilinx DSP. High Performance Signal Processing. January 1998 DSP High Performance Signal Processing January 1998 New High Performance DSP Alternative New advantages in FPGA technology and tools: DSP offers a new alternative to ASICs, fixed function DSP devices,

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

Distributed Vision Processing in Smart Camera Networks

Distributed Vision Processing in Smart Camera Networks Distributed Vision Processing in Smart Camera Networks CVPR-07 Hamid Aghajan, Stanford University, USA François Berry, Univ. Blaise Pascal, France Horst Bischof, TU Graz, Austria Richard Kleihorst, NXP

More information

systems such as Linux (real time application interface Linux included). The unified 32-

systems such as Linux (real time application interface Linux included). The unified 32- 1.0 INTRODUCTION The TC1130 is a highly integrated controller combining a Memory Management Unit (MMU) and a Floating Point Unit (FPU) on one chip. Thanks to the MMU, this member of the 32-bit TriCoreTM

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

RISC IMPLEMENTATION OF OPTIMAL PROGRAMMABLE DIGITAL IIR FILTER

RISC IMPLEMENTATION OF OPTIMAL PROGRAMMABLE DIGITAL IIR FILTER RISC IMPLEMENTATION OF OPTIMAL PROGRAMMABLE DIGITAL IIR FILTER Miss. Sushma kumari IES COLLEGE OF ENGINEERING, BHOPAL MADHYA PRADESH Mr. Ashish Raghuwanshi(Assist. Prof.) IES COLLEGE OF ENGINEERING, BHOPAL

More information

Background Information. Proposed Solution

Background Information. Proposed Solution Background Information This project focuses on the problem of supporting efficient biometric fingerprint-based user authentication in embedded systems. Biometric user authentication is the process of verifying

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 22 Title: and Extended

More information

VHDL MODEL OF SMART SENSOR

VHDL MODEL OF SMART SENSOR VHDL MODEL OF SMART SENSOR 1 MS. VAISHALI M. BAGADE, 2 MR M.B.LIMKAR 1Electronics Department 1, TERNA College, Nerul 2 Electronics & Telecommunication Department, TERNA College, Navi Mumbai Email: 1 vaishali.bagade2@gmail.com,

More information

Independent DSP Benchmarks: Methodologies and Results. Outline

Independent DSP Benchmarks: Methodologies and Results. Outline Independent DSP Benchmarks: Methodologies and Results Berkeley Design Technology, Inc. 2107 Dwight Way, Second Floor Berkeley, California U.S.A. +1 (510) 665-1600 info@bdti.com http:// Copyright 1 Outline

More information

In this tutorial, we will discuss the architecture, pin diagram and other key concepts of microprocessors.

In this tutorial, we will discuss the architecture, pin diagram and other key concepts of microprocessors. About the Tutorial A microprocessor is a controlling unit of a micro-computer, fabricated on a small chip capable of performing Arithmetic Logical Unit (ALU) operations and communicating with the other

More information

EEL 4783: Hardware/Software Co-design with FPGAs

EEL 4783: Hardware/Software Co-design with FPGAs EEL 4783: Hardware/Software Co-design with FPGAs Lecture 5: Digital Camera: Software Implementation* Prof. Mingjie Lin * Some slides based on ISU CPrE 588 1 Design Determine system s architecture Processors

More information

With Fixed Point or Floating Point Processors!!

With Fixed Point or Floating Point Processors!! Product Information Sheet High Throughput Digital Signal Processor OVERVIEW With Fixed Point or Floating Point Processors!! Performance Up to 14.4 GIPS or 7.7 GFLOPS Peak Processing Power Continuous Input

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

INTRODUCTION TO COMPUTERS

INTRODUCTION TO COMPUTERS INTRODUCTION TO COMPUTERS When we talk about computers, we really are talking about a Computer System. Computer System: It is a combination of Hardware and Software. This combination allows a computer

More information

DSP Builder Handbook Volume 1: Introduction to DSP Builder

DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com HB_DSPB_INTRO-5.1 Document last updated for Altera Complete Design

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

Comparison of different microcontroller development boards for power electronics applications

Comparison of different microcontroller development boards for power electronics applications 5 th International Symposium Topical Problems in the Field of Electrical and Power Engineering, Doctoral School of Energy and Geotechnology Kuressaare, Estonia, January 14 19, 2008 Comparison of different

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions

DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions White Paper: Spartan-3 FPGAs WP212 (v1.0) March 18, 2004 DSP Co-Processing in FPGAs: Embedding High-Performance, Low-Cost DSP Functions By: Steve Zack, Signal Processing Engineer Suhel Dhanani, Senior

More information

ECE 487 LAB 1 ÇANKAYA UNIVERSITY Overview of DSP Board

ECE 487 LAB 1 ÇANKAYA UNIVERSITY Overview of DSP Board ECE 487 LAB 1 ÇANKAYA UNIVERSITY Overview of DSP Board DSP (Digital Signal Processor) boards are used in high performance, high throughput signal processing applications. You can find there processors

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Typical DSP application

Typical DSP application DSP markets DSP markets Typical DSP application TI DSP History: Modem applications 1982 TMS32010, TI introduces its first programmable general-purpose DSP to market Operating at 5 MIPS. It was ideal for

More information

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs New Directions in Programming FPGAs for DSP Dr. Jim Hwang Xilinx, Inc. Agenda Introduction FPGA DSP platforms Design challenges New programming models for FPGAs System Generator Getting your math into

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Computer Hardware Requirements for Real-Time Applications

Computer Hardware Requirements for Real-Time Applications Lecture (4) Computer Hardware Requirements for Real-Time Applications Prof. Kasim M. Al-Aubidy Computer Engineering Department Philadelphia University Real-Time Systems, Prof. Kasim Al-Aubidy 1 Lecture

More information

Optimize DSP Designs and Code using Fixed-Point Designer

Optimize DSP Designs and Code using Fixed-Point Designer Optimize DSP Designs and Code using Fixed-Point Designer MathWorks Korea 이웅재부장 Senior Application Engineer 2013 The MathWorks, Inc. 1 Agenda Fixed-point concepts Introducing Fixed-Point Designer Overview

More information

Implementing FFT in an FPGA Co-Processor

Implementing FFT in an FPGA Co-Processor Implementing FFT in an FPGA Co-Processor Sheac Yee Lim Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 sylim@altera.com Andrew Crosland Altera Europe Holmers Farm Way High Wycombe,

More information

Evaluating MMX Technology Using DSP and Multimedia Applications

Evaluating MMX Technology Using DSP and Multimedia Applications Evaluating MMX Technology Using DSP and Multimedia Applications Ravi Bhargava * Lizy K. John * Brian L. Evans Ramesh Radhakrishnan * November 22, 1999 The University of Texas at Austin Department of Electrical

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

A DSP Systems Design Course based on TI s C6000 Family of DSPs

A DSP Systems Design Course based on TI s C6000 Family of DSPs A DSP Systems Design Course based on TI s C6000 Family of DSPs Evangelos Zigouris, Athanasios Kalantzopoulos and Evangelos Vassalos Electronics Lab., Electronics and Computers Div., Department of Physics,

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

An Optimizing Compiler for the TMS320C25 DSP Chip

An Optimizing Compiler for the TMS320C25 DSP Chip An Optimizing Compiler for the TMS320C25 DSP Chip Wen-Yen Lin, Corinna G Lee, and Paul Chow Published in Proceedings of the 5th International Conference on Signal Processing Applications and Technology,

More information

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures

Storage I/O Summary. Lecture 16: Multimedia and DSP Architectures Storage I/O Summary Storage devices Storage I/O Performance Measures» Throughput» Response time I/O Benchmarks» Scaling to track technological change» Throughput with restricted response time is normal

More information

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT THE FREE AND OPEN RISC INSTRUCTION SET ARCHITECTURE Codasip is the leading provider of RISC-V processor IP Codasip Bk: A portfolio of RISC-V processors Uniquely

More information

Controller Synthesis for Hardware Accelerator Design

Controller Synthesis for Hardware Accelerator Design ler Synthesis for Hardware Accelerator Design Jiang, Hongtu; Öwall, Viktor 2002 Link to publication Citation for published version (APA): Jiang, H., & Öwall, V. (2002). ler Synthesis for Hardware Accelerator

More information

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Introduction National Instruments is now offering the LabVIEW FPGA Pioneer System to provide early access to the new

More information

Lab 6 : Introduction to Simulink, Link for CCS & Real-Time Workshop

Lab 6 : Introduction to Simulink, Link for CCS & Real-Time Workshop Lab 6 : Introduction to Simulink, Link for CCS & Real-Time Workshop September, 2006 1 Overview The purpose of this lab is to familiarize you with Simulink, Real Time Workshop, Link for CCS and how they

More information

3.1 Description of Microprocessor. 3.2 History of Microprocessor

3.1 Description of Microprocessor. 3.2 History of Microprocessor 3.0 MAIN CONTENT 3.1 Description of Microprocessor The brain or engine of the PC is the processor (sometimes called microprocessor), or central processing unit (CPU). The CPU performs the system s calculating

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

FPGA for Dummies. Introduc)on to Programmable Logic

FPGA for Dummies. Introduc)on to Programmable Logic FPGA for Dummies Introduc)on to Programmable Logic FPGA for Dummies Historical introduc)on, where we come from; FPGA Architecture: Ø basic blocks (Logic, FFs, wires and IOs); Ø addi)onal elements; FPGA

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

MRT based Fixed Block size Transform Coding

MRT based Fixed Block size Transform Coding 3 MRT based Fixed Block size Transform Coding Contents 3.1 Transform Coding..64 3.1.1 Transform Selection...65 3.1.2 Sub-image size selection... 66 3.1.3 Bit Allocation.....67 3.2 Transform coding using

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

EMBEDDED SYSTEM BASICS AND APPLICATION

EMBEDDED SYSTEM BASICS AND APPLICATION EMBEDDED SYSTEM BASICS AND APPLICATION Dr.Syed Ajmal IIT- Robotics TOPICS TO BE DISCUSSED System Embedded System Components Classifications Processors Other Hardware Software Applications 2 INTRODUCTION

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009 Digital Signal Processing 8 December 24, 2009 VIII. DSP Processors 2007 Syllabus: Introduction to programmable DSPs: Multiplier and Multiplier-Accumulator (MAC), Modified bus structures and memory access

More information

Cut DSP Development Time Use C for High Performance, No Assembly Required

Cut DSP Development Time Use C for High Performance, No Assembly Required Cut DSP Development Time Use C for High Performance, No Assembly Required Digital signal processing (DSP) IP is increasingly required to take on complex processing tasks in signal processing-intensive

More information

NISC Application and Advantages

NISC Application and Advantages NISC Application and Advantages Daniel D. Gajski Mehrdad Reshadi Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425, USA {gajski, reshadi}@cecs.uci.edu CECS Technical

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

EN2911X: Reconfigurable Computing Lecture 01: Introduction

EN2911X: Reconfigurable Computing Lecture 01: Introduction EN2911X: Reconfigurable Computing Lecture 01: Introduction Prof. Sherief Reda Division of Engineering, Brown University Fall 2009 Methods for executing computations Hardware (Application Specific Integrated

More information

Reprint. Transmission Systems Prototyping based on Stateflow/Simulink Models

Reprint. Transmission Systems Prototyping based on Stateflow/Simulink Models Reprint Transmission Systems Prototyping based on Stateflow/Simulink Models N. Papandreou, M. Varsamou, and Th. Antonakopoulos The 15th IEEE International Workshop on Rapid System Prototyping - RSP 2004

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Code Generation for TMS320C6x in Ptolemy

Code Generation for TMS320C6x in Ptolemy Code Generation for TMS320C6x in Ptolemy Sresth Kumar, Vikram Sardesai and Hamid Rahim Sheikh EE382C-9 Embedded Software Systems Spring 2000 Abstract Most Electronic Design Automation (EDA) tool vendors

More information

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012 Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs November 2012 How the world is doing more with TI s multicore Using TI multicore for wide variety of applications

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India

Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India Mapping Signal Processing Algorithms to Architecture Sumam David S Head, Dept of Electronics & Communication National Institute of Technology Karnataka, Surathkal, India sumam@ieee.org Objectives At the

More information

Introduction to Computer Graphics (CS602) Lecture No 03 Graphics Systems

Introduction to Computer Graphics (CS602) Lecture No 03 Graphics Systems Introduction to Computer Graphics (CS602) Lecture No 03 Graphics Systems 3.1 Raster-Scan Systems Interactive raster graphics systems typically employ several processing units. In addition to the CPU, a

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Lecture 1: Introduction to Microprocessors

Lecture 1: Introduction to Microprocessors ECE342 Digital II Lecture 1: Introduction to Microprocessors Dr. Ying (Gina) Tang Electrical and Computer Engineering Rowan University 1 What is a microprocessor Informally, a microprocessor (µp) is the

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

The PCMCIA DSP Card: An All-in-One Communications System

The PCMCIA DSP Card: An All-in-One Communications System The PCMCIA DSP Card: An All-in-One Communications System Application Report Raj Chirayil Digital Signal Processing Applications Semiconductor Group SPRA145 October 1994 Printed on Recycled Paper IMPORTANT

More information

Model-Based Design for Video/Image Processing Applications

Model-Based Design for Video/Image Processing Applications Model-Based Design for Video/Image Processing Applications The MathWorks Agenda Model-Based Design From MATLAB and Simulink to Altera FPGA Step-by-step design and implementation of edge detection algorithm

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information