EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

Size: px
Start display at page:

Download "EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25"

Transcription

1 EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 Introduction This Xilinx project introduces the characteristics of the ripple carry adder. From the last project, you learned that logic circuits do not react instantaneously. When the input changes, there is invariably some delay before the output changes. This project demonstrates the consequences of these delays. If necessary, review the steps in Project 1 with regard to creating schematics in the Schematic Editor, setting up inputs in HDL Bencher and running the simulation with ModelSim. Opening the Project Retrieve EET2141proj2.zip from the EET2141 web site and store it in the EET2141 sub- directory on your PC (the one you have created in the first project). Create a new sub-directory (folder) and name it EET2141proj2. Unzip EET2141proj2.zip using WinZip and extract the contents to the sub-directory EET2141proj2. Among the files that have been extracted, you will find a file named proj2.ise. Start up the Xilinx project by double-clicking on the file proj2.ise. To invoke the Schematic Editor, double-click on the source named top (top.sch) in the Project Navigator window. This will load the circuit into the Xilinx ECS (Schematic Editor). You will get a pop-up window Open Schematic File Errors. Under Out-of-Date Symbols, click on adder and Update Instances button. Select the Action Ignore these errors and click OK. Then click on the Xilinx ECS [top.sch] window to save the updated schematic file. You will see a block called adder, which is a one-bit adder. The inputs are X, Y, and Cin (carry input). Cin is connected to gnd or logic 0. The outputs are Sum and Cout. Sum is the sum bit of the adder, and Cout is the carry out of the adder. If you want to see the internal details of the adder block, you can double-click on the schematic file named adder (adder.sch) in your Project Navigator window. The symbol adder has been created for this project and it can be used as any other logic gate that you have used so far. This method of creating symbols of a circuit is useful if you are going to use multiple instances of the same block. In this project, you will use four instances of the adder block called adder and make a four-bit adder by joining them.

2 Simulating the One-bit Adder In your Project Navigator window, Click on your schematic file top (top.sch) to make it active. Now select Project New Source. In the window that opens up select the option Test Bench Waveform. Specify wave as the name for the waveform in the File Name field and click on Next. Now you will get to another window called Select. This step is different from the first project, as you will have to select which module you want to simulate. Since adder is by itself a self contained module, you can simulate it separately. In bigger circuits where you have numerous modules, this selection is very useful for debugging. Select adder as the source file in the Select window. Click Next and then in the following window click Finish. This will open the HDL Bencher. In the Initialize Timing window, select the option Combinatorial Design. In the input boxes after Check outputs and Assign inputs, enter the value 1, and set the Initial Length of Test Bench to 38 ns, and set the time scale to ns and click OK. Assigning Input Values From the Project 1 simulation step, when you select Post-Fit VHDL Model, you can see that there is a red bar in front of the output, and it has about a 5 ns delay. Thus, in this project, we will start our simulation at 14 ns, use a 4 ns time interval, and set the end of simulation to a large value such as 38 ns. We have two primary inputs to our circuit X and Y (Input Cin is connected to gnd,

3 so we don t need to include that). Now to functionally simulate the circuit, we should simulate all the 4 possible combinations (00, 01, 10, 11) of the X and Y inputs. To do this, we are going to assign a square waveform to X and then assign a similar waveform to Y but the time period of the successive waveform will be half that of the former. So the time period of Y is twice that of X. There are 2 methods that you can use to assign your inputs. From Project 1, you have learned how to use the pattern wizard. Another way is to click on the blue area of the waveform signal. This will toggle the signal up or down. See the figure below. Follow the simulation steps as you did in Project 1. Set the Fit process for Implementation Template property to Optimize Balance. In this project, we will examine the timing analysis of the circuit. All the simulations should be done with the Simulate Post-Fit VHDL Model option. Observe the output waveforms. The red bar shows the set up delay of about 5 ns. This creates a delay effect on the outputs after the X and Y inputs change. Question: 1. In the worst case delay, how long does it take the outputs, Cout and Sum, to reflect changes in the inputs?

4 Building a Four-Bit Adder After finishing the simulation, open the top.sch file. As before, if you get the Open Schematic File Errors, make sure that you update the adder instance and save the schematic file before exit. In this section, you will use copies of the one-bit adder you were given in the last part to build a four-bit adder. Follow the steps below to modify the circuit. Step 1: Delete the input and output ports and connecting wires from the circuit. Do not delete the one-bit adder. You should only have the one-bit adder on your sheet now with Cin connected to gnd. Step 2: The component called adder is local to your project. You can either copy the one that is already in the circuit or pick it from the symbols library. In the left side of your Schematic Editor window, under the sub-window called Categories, click on the second entry (entry below <All Symbols>). This will be the path where your project is. This entry will display all the symbols that you have created local to your project. Now click on the entry adder under the Symbols sub-window. You can also choose <All Symbols> in Categories and browse down to adder. Place three copies of adder in your schematic and place them along the same horizontal line matching the adder already present in your sheet. Step 3: Draw the wires between the adders connecting the Cout to the Cin of the adjacent adders. Connect the Cout of the left most adder to an output marker named Cout. Your circuit will now look something like Figure below.

5 Step 4: Now draw a horizontal wire above the adders. We will change this wire to a bus. A bus is a collection of wires. So instead of setting the Y input of all the four adders separately, we will set the bus to a 4-bit value and it will automatically set the individual values to the Y inputs. Step 5: Now click on Select Add Net Name or click the Add Net Name icon in the Tools tool bar. Type in Y(3:0) in the text area of the Name field in the left side of the window. Keep the other options in the left side as they are. This will attach the bus name to the cursor. You can see this when you move around the cursor, the name will also move along.

6 Step 6: Click the end of the wire to apply the name. This will change the wire into a bus. To verify this, zoom in. The bus is represented visually by a thicker wire. Step 7: Click ESC to exit the bus-drawing mode. Next, add nets (wires) to attach the appropriate pins from the adder to the bus. Use bus taps to tap off a single bit of a bus and connect it to one adder block. The Schematic Capture tool names the bus taps incrementally as they are drawn. Note: Enlarging the view of the schematic will enable greater precision when drawing the nets. Step 8: Select Add Bus Tap or click the Add Bus Tap icon in the Tools toolbar. The cursor changes indicating that you are now in the draw bus tap mode. In the Options window in the left, choose the Top orientation for the bus so that the bottom of the triangle

7 is placed on the bus. The other side of the bus should now be pointing to an unconnected pin. Step 9: Repeat the above step to tap off the other three bits of the bus. Step 10: To connect each of the bus taps to the corresponding adder input, select Add Wire or click the Add Wire icon in the Tools toolbar. Draw a wire from the other end of the bus tap to the corresponding Y input of the adder. Repeat this for the other bus taps. Zoom in for better clarity. Note: You can skip steps 8 and 9 if you select Automatically add a bus tap between the bus and the wire in the Options window of the Add Wire tool. Try this option with X and Sum.

8 Step 11: Select Add Net Name or click the Add Net Name icon in the Tools toolbar. Type in Y(0) in the text area of the Name field (as done previously for the bus name). The net name is now at the end of your cursor. You can increment subsequent net names by selecting the option Increment the Name. With the Increment the Name option selected, start with the right-most wire and continue clicking left until you have named the fourth and final net Y(3). Make sure you click the name right on top of the wire. Step 12: To make the bus Y(3:0) as an input, click on the button. Select the direction by clicking on Add an input marker in the Options window. Also select the option Right in the drop down menu to select the orientation of the input port as show below.

9 Now an input marker appears in your Schematic Editor. You will have to place the input marker to directly coincide with the terminated end of your wire. You may need to zoom in on your schematic to do this. Step 13: Repeat steps 4 12 for adding the buses for input X(3:0) and the output Sum(3:0). Make sure that you specify the IOMarker direction as input for X(3:0) and output for Sum(3:0). The orientation of the bus tap for X(3:0) is Top, the same as Y(3:0). However, the orientation of the bus tap for Sum(3:0) is Bottom. Your final schematic should look like that shown below.

10 Step 14: When done with wiring, type in your name in the Name Lastname field and you can also change the settings for font size. Step 15: Before you save your changes and start the simulator, you need to check the circuit. Click on Tools Check Schematic. If there are errors, they will be displayed in the Schematic Check Errors window. If there are no errors, then the Error Msg will display No Errors Detected. Save your schematic and take a printout of the circuit. Simulating a Four-Bit Adder Close your Schematic Editor and come to your Project Navigator window. Click on your schematic file top (top.sch) to make it active. Now select Project New Source. In the window that opens up, select the option Test Bench Waveform. Specify wave2 as the name for the waveform in the File Name field and click on Next. Select top as the source file in Select window. Click Next and then in the following window click Finish. This will open the HDL Bencher window. In the Initialize Timing window, select the option Combinatorial Design. In the input boxes after Check outputs and Assign inputs, enter the value 1, and set the Initial Length of Test Bench to 38 ns, and set the time scale to ns and click OK. Assigning Input Values Use the four-bit adder to compute 6+1=7. You have to apply one of the values (6) to one of the inputs X and the other value (1) to the other input Y. Remember that this is a 4-bit adder and we have to convert the decimal numbers to 4-bit binary numbers. So decimal 6 is equivalent to 0110 in binary and decimal 1 is equivalent to 0001 in binary.

11 Now in HDL Bencher, right click on your waveforms to set the base for your signals (X, Y and Sum) as binary (base 2). Make sure that you have the base selected properly. Right click on the X(3:0) at 14 ns and select Set Value. Or left click at the field to open Set Value window. Type in 0110 and click OK. This sets the value for 6. Now in a similar fashion set the value for Y(3:0) as As mentioned in the beginning of the project, we will start all of our simulations at 14 ns, and set the end of simulation for 38 ns.

12 Save the waveform test bench setup. Click on the waveform file in the Sources in Project sub-window. Now in the sub-window Processes for Current Source, double-click on the option Simulate Post-Fit VHDL Model. This will run ModelSim (this may take a while, so be patient!). Print out the waveform and answer the following questions. Questions: 2. What is the result of 6+1 addition, in binary? 3. How long did it take, from the time that the inputs changed, until the outputs were stable and gave the correct final result? Further Simulation Remember to quit ModelSim every time before you start a new simulation. Now quit ModelSim and simulate the 4-bit adder to compute 7+1. From your Project Navigator window, click on your schematic file top (top.sch) to make it active. Now select Project New Source. In the window that opens up select the option Test Bench Waveform. Specify wave3 as the name for the waveform in the File Name field and click on Next, Next and then in the following window click Finish. Remember that you can save every simulation you do by opening up new waveform sources or you can take the printouts and answer the questions and then start the next addition from the same wave source. Now use the 4-bit adder to compute 7+1. Print out the waveform and answer the following questions. Questions: 4. What is the result of 7+1 addition, in hex, binary and decimal? 5. How long did it take, from the time the inputs changed, until the outputs were stable and gave the correct final result? Did 7+1 addition take more or less time than that for 6+1? 6. Now, set the value of X to be 1011 at 18 ns and 1101 at 22 ns and simulate it. What incorrect results, if any, are shown on the output display before the correct result appears? Note: On the Sum output, before you get the correct answer, you will get some other values, which you can read if you place your cursor over the value.

13 Final Simulations Follow the same waveform format as in the earlier section, start inputs with 0000 and change them at 14 ns. Use the 4-bit adder to compute 14+1=15 (in binary, this is expressed as =1111). Repeat the simulation to compute 15+1 and Print out each copy of the waveform for 14+1, 15+1 and the simulations. Compute each addition in a separate waveform. Questions: 7. What are the results of the additions 14+1 and 15+1, in hex and binary? 8. What incorrect results, if any, are shown on the output display before the correct result appears? 9. How long did it take, from the time the inputs changed, until the outputs were stable and gave the correct final result for 15+1? Did this addition take more or less time than 14+1? 10. What is the result of the addition , in decimal and binary? 11. How long did the addition take? Did this addition take more or less time than 14+1 and 15+1? Why? Report The report should be typed. Be sure to include: Answers to the questions (1-11) A printout of the 4-bit adder circuit Five waveform outputs showing the results of the 6+1, 7+1, 14+1, 15+1, and simulations.

Xilinx Schematic Entry Tutorial

Xilinx Schematic Entry Tutorial Overview Xilinx Schematic Entry Tutorial Xilinx ISE Schematic Entry & Modelsim Simulation What is circuit simulation and why is it important? Complex designs, short design cycle Simultaneous system design

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

Step 1: Downloading the source files

Step 1: Downloading the source files Introduction: In this lab and in the remainder of the ELEC 2607 labs, you will be using the Xilinx ISE to enter and simulate the designs for your circuits. In labs 3 and 4, you will use ISE to compile

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17 Page 1/14 Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate and two inverters under the Quartus environment. Upon completion

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 1 - INTRODUCTION TO XILINX ISE SOFTWARE AND FPGA 1. PURPOSE In this lab, after you learn to use

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Boise State University Digital Systems Laboratory

Boise State University Digital Systems Laboratory by S. M. Loo, Arlen Planting Department of Electrical and Computer Engineering Boise State University First Released: Spring 2005 with ISE 6.3i Updated: Fall 2006 with ISE 8.1i Updated: Spring 2009 with

More information

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES Introduction to Active-HDL TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES This tutorial will use the 1-bit full adder you designed in Tutorial #1 to construct larger adders. This will introduce the

More information

Tutorial: Pattern Wizard

Tutorial: Pattern Wizard University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Tutorial: Pattern Wizard When assigning values to a bus in Xilinx during the behavioral simulation,

More information

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14 Appendix B HDL Entry Tutorial 2 Page 1 of 14 Contents Appendix B HDL Entry Tutorial 2...2 B.1 Getting Started...2 B.1.1 Preparing a Folder for the Project...2 B.1.2 Starting Quartus II...2 B.1.3 Creating

More information

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Equipment and Components Quartus software and Altera DE2-115 board PART 1: Number Representation in Microsoft Calculator. First, let s

More information

Getting Started with Xilinx WebPack 13.1

Getting Started with Xilinx WebPack 13.1 Getting Started with Xilinx WebPack 13.1 B. Ackland June 2011 (Adapted from S. Tewksbury notes WebPack 7.1) This tutorial is designed to help you to become familiar with the operation of the WebPack software

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE 1. Synopsis: This lab introduces Xilinx Schematic Editor to input a digital design and ModelSim to simulate

More information

Altera Quartus II Tutorial ECE 552

Altera Quartus II Tutorial ECE 552 Altera Quartus II Tutorial ECE 552 Quartus II by Altera is a PLD Design Software which is suitable for high-density Field-Programmable Gate Array (FPGA) designs, low-cost FPGA designs, and Complex Programmable

More information

Department of Electrical and Computer Engineering Xilinx ISIM <Release Version: 14.1i> Simulation Tutorial Using Verilog

Department of Electrical and Computer Engineering Xilinx ISIM <Release Version: 14.1i> Simulation Tutorial Using Verilog Department of Electrical and Computer Engineering Xilinx ISIM Simulation Tutorial Using Verilog Spring 2013 Baback Izadi You will next test the full adder circuit that you built

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date: EXPERIMENT # 10: Implementing Binary Adders Name: Date: Equipment/Parts Needed: PC (Altera Quartus II V9.1 installed) DE-2 board Objective: Design a half adder by extracting the Boolean equation from a

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim Purpose Define logic expressions in Verilog using register transfer level (RTL) and structural models. Use Quartus II to

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

Lesson 18: Creating a Hierarchical Block

Lesson 18: Creating a Hierarchical Block Lesson 18: Creating a Hierarchical Block Lesson Objectives After you complete this lesson you will be able to: Create hierarchical blocks Copying Schematics between Projects You can copy and paste between

More information

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Revision: February 7, 2003 Overview This document is intended to assist new entry-level users of the Xilinx ISE/WebPack software. It

More information

Lesson 17: Building a Hierarchical Design

Lesson 17: Building a Hierarchical Design Lesson 17: Building a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Explore the structure of a hierarchical design Editing the Training Root Schematic Making

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Introduction to Computer Engineering (E114)

Introduction to Computer Engineering (E114) Introduction to Computer Engineering (E114) Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for

More information

EET 1131 Lab #7 Arithmetic Circuits

EET 1131 Lab #7 Arithmetic Circuits Name Equipment and Components Safety glasses ETS-7000 Digital-Analog Training System Integrated Circuits: 7483, 74181 Quartus II software and Altera DE2-115 board Multisim simulation software EET 1131

More information

Quick Tutorial for Quartus II & ModelSim Altera

Quick Tutorial for Quartus II & ModelSim Altera Quick Tutorial for Quartus II & ModelSim Altera By Ziqiang Patrick Huang Hudson 213c Ziqiang.huang@duke.edu Download & Installation For Windows or Linux users : Download Quartus II Web Edition v13.0 (ModelSim

More information

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: www.vhdl.us Appendix C Xilinx ISE Tutorial (ISE 11.1) This tutorial is based on ISE 11.1 WebPack (free at

More information

DesignDirect-CPLD Tutorial Manual

DesignDirect-CPLD Tutorial Manual DesignDirect-CPLD Tutorial Manual Mail: Vantis Corporation P.O. Box 3755 995 Stewart Drive Sunnyvale, CA 94088 U.S.A. Phone: (408) 616-8000 (888) 826-8472 Web: www.vantis.com 1999 Vantis Corporation Vantis

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation Appendix D Tutorial 3 This tutorial introduces more advanced capabilities of the Quartus II system. We show how Verilog code is organized and compiled and illustrate how multibit signals are represented

More information

CPLD Experiment 4. XOR and XNOR Gates with Applications

CPLD Experiment 4. XOR and XNOR Gates with Applications CPLD Experiment 4 XOR and XNOR Gates with Applications Based on Xilinx ISE Design Suit 10.1 Department of Electrical & Computer Engineering Florida International University Objectives Materials Examining

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices Internet Engineering Dr. Jarosław Sugier Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices This document presents software packages

More information

Tutorial 2 Implementing Circuits in Altera Devices

Tutorial 2 Implementing Circuits in Altera Devices Appendix C Tutorial 2 Implementing Circuits in Altera Devices In this tutorial we describe how to use the physical design tools in Quartus II. In addition to the modules used in Tutorial 1, the following

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation) Programming Xilinx SPARTAN 3 Board (Simulation through Implementation) September 2008 Prepared by: Oluwayomi Adamo Class: Project IV University of North Texas FPGA Physical Description 4 1. VGA (HD-15)

More information

FPGA Design Tutorial

FPGA Design Tutorial ECE 554 Digital Engineering Laboratory FPGA Design Tutorial Version 5.0 Fall 2006 Updated Tutorial: Jake Adriaens Original Tutorial: Matt King, Surin Kittitornkun and Charles R. Kime Table of Contents

More information

ENGR 5865 DIGITAL SYSTEMS

ENGR 5865 DIGITAL SYSTEMS ENGR 5865 DIGITAL SYSTEMS ModelSim Tutorial Manual January 22, 2007 Introduction ModelSim is a CAD tool widely used in the industry for hardware design. This document describes how to edit/add, compile

More information

QuartusII.doc 25/02/2005 Page 1

QuartusII.doc 25/02/2005 Page 1 1 Start Icon... 2 1.1 The Quartus II Screen... 2 2 Project creation... 2 3 Schematic entry... 5 3.1 Create new drawing... 5 3.2 Symbol selection... 7 3.3 Placement of an AND gate... 8 3.4 Deleting a symbol...

More information

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

Lesson 5: Creating Heterogeneous Parts

Lesson 5: Creating Heterogeneous Parts Lesson 5: Creating Heterogeneous Parts Lesson Objectives After you complete this lesson you will be able to: Create a Heterogeneous part Annotate a Heterogeneous part (Optional) Heterogeneous Parts A heterogeneous

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL ELEC 204 Digital System Design LABORATORY MANUAL : Introductory Tutorial For Xilinx ISE Foundation v10.1 & Implementing XOR Gate College of Engineering Koç University Important Note: In order to effectively

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

SCHEMATIC DESIGN IN QUARTUS

SCHEMATIC DESIGN IN QUARTUS SCHEMATIC DESIGN IN QUARTUS Consider the design of a three-bit prime number detector. Figure 1 shows the block diagram and truth table. The inputs are binary signals A, B, and C while the output is binary

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Experiment 18 Full Adder and Parallel Binary Adder

Experiment 18 Full Adder and Parallel Binary Adder Objectives Experiment 18 Full Adder and Parallel Binary Adder Upon completion of this laboratory exercise, you should be able to: Create and simulate a full adder in VHDL, assign pins to the design, and

More information

EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2)

EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2) 7-1 EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2) Purpose The purpose of this exercise is to explore more advanced features of schematic based design. In particular you will go through

More information

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog 2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog Hrishikesh Salunkhe, h.l.salunkhe@tue.nl, Alok Lele, a.lele@tue.nl April 28, 2015 1 Contents 1 Introduction 3 2 Hardware design

More information

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM Department of Computing Course 112 Hardware First Year Laboratory Assignment Dates for the session 2005-2006: Hand out Date: 10 th January 2006 Hand in deadline (electronic and written report): 17.00 Monday

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

COS/ELE 375 Verilog & Design Tools Tutorial

COS/ELE 375 Verilog & Design Tools Tutorial COS/ELE 375 Verilog & Design Tools Tutorial In this tutorial, you will walk through a tutorial using the Xilinx ISE design software with a Digilent Nexys4 DDR FPGA board. In this tutorial, you will learn

More information

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE WebPACK Schematic Capture Tutorial Revision: February 27, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides instruction for using the Xilinx

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Spring 2018 Lab #2A: Hierarchical Design & Verilog Practice Issued Wed 1/17/18; Due Wed 1/24/18

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

Lesson 9: Processing a Schematic Design

Lesson 9: Processing a Schematic Design Lesson 9: Processing a Schematic Design Lesson Objectives After you complete this lab you will be able to: Assign reference designators Check the design for errors Create a netlist for OrCAD and Allegro

More information

ChipScope Demo Instructions

ChipScope Demo Instructions UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Overview ChipScope is an embedded, software based logic analyzer. By inserting an intergrated

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date: EXPERIMENT # 6: Quartus II Tutorial and Practice Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to

More information

Introduction to WebPACK 4.1 for CPLDs. Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board

Introduction to WebPACK 4.1 for CPLDs. Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board Introduction to WebPACK 4.1 for CPLDs Using Xilinx WebPACK Software to Create CPLD Designs for the XS95 Board Release date: 10/29/2001 All XS-prefix product designations are trademarks of XESS Corp. All

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #1: Getting Started Issued Fri. 1/9/15; Due Wed. 1/14/15 (11:59pm) This lab assignment consists

More information

FPGA Introductory Tutorial: Part 1

FPGA Introductory Tutorial: Part 1 FPGA Introductory Tutorial: Part 1 This tutorial is designed to assist in learning the basics of the Altera Quartus II v9.0 software. Part 1 of the tutorial will cover the basics of creating a Project,

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014 E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014 Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. Along the way, you

More information

Instructions on creating CTL files for PAL pin placement

Instructions on creating CTL files for PAL pin placement Instructions on creating CTL files for PAL pin placement We use CTL files to control how Active-HDL assigns inputs and outputs to pins. This will make it easier for you to re-synthesize a file since Active-HDL

More information

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

Lesson 5: Board Design Files

Lesson 5: Board Design Files 5 Lesson 5: Board Design Files Learning Objectives In this lesson you will: Use the Mechanical Symbol Editor to create a mechanical board symbol Use the PCB Design Editor to create a master board design

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

Generating Parameterized Modules and IP Cores

Generating Parameterized Modules and IP Cores Generating Parameterized Modules and IP Cores Table of Contents...3 Module 1: Verilog HDL Design with LPMs Using the Module/IP Manager...4 Task 1: Create a New Project...5 Task 2: Target a Device...7 Task

More information

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011 RTL and Technology Schematic Viewers Tutorial The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not assume any

More information

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences Compiled: 4/3/2003 for CS152 Spring 03, Prof. John Kubiatowicz

More information

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0 Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim ver. 2.0 Updated: Fall 2013 1 Preparing the Input: Download examples associated with this tutorial posted at http://ece.gmu.edu/tutorials-and-lab-manuals

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

CET4805 Component and Subsystem Design II. EXPERIMENT # 5: Adders. Name: Date:

CET4805 Component and Subsystem Design II. EXPERIMENT # 5: Adders. Name: Date: EXPERIMENT # 5: Adders Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Design a half adder by extracting the

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Read through each section completely before starting so that you have the benefit of all the directions. Put on a grounded wrist strap (cf. Getting Started) before touching

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification Ahmed Abu-Hajar, Ph.D. abuhajar@digitavid.net Digitavid, Inc San Jose, CA Session One Outline Introducing VHDL

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1 Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor For Quartus Prime 16.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

Digital Electronics & Computer Engineering (E85)

Digital Electronics & Computer Engineering (E85) Digital Electronics & Computer Engineering (E85) Lab 5: 32-bit ALU Introduction In this lab, you will build the 32-bit Arithmetic Logic Unit (ALU) that is described in your book in Section 4.5. Your ALU

More information

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London. Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog Objectives By the end of this experiment, you should know: How to design digital circuits using

More information

Hardware describing languages, high level tools and Synthesis

Hardware describing languages, high level tools and Synthesis Hardware describing languages, high level tools and Synthesis Hardware describing languages (HDL) Compiled/Interpreted Compiled: Description compiled into C and then into binary or directly into binary

More information

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using Verilog HDL. A typical design flow consists of creating

More information

Engineering 303 Digital Logic Design Fall 2018

Engineering 303 Digital Logic Design Fall 2018 Engineering 303 Digital Logic Design Fall 2018 LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Build the following designs and verify correct operation. This lab uses hierarchical design.

More information