Datasheet for Nios II Processor (nios2_r1c) v.3, July Processor Details GENERATION SYSID

Size: px
Start display at page:

Download "Datasheet for Nios II Processor (nios2_r1c) v.3, July Processor Details GENERATION SYSID"

Transcription

1 Processor Details NAME "cpu_r1" FREQ RESET_ADDR 0x0 EXCEPTION_ADDR 0x20 IMPLEMENTATION "small" ARCHITECTURE "altera_nios2" Instruction cache Data cache Little Endian HARDWARE_DIVIDE_PRESENT HARDWARE_MULTIPLY_PRESENT false PIN_N2 CLOCK_50 PIN_G26 KEY[0] reset_n GENERATION Tue Jul 24 19:23:25 MDT 2012 Files: Jul 25 23:28 nios2_r1c.pof Jul 23 21:41 nios2_r1c.ptf Jul 25 23:28 nios2_r1c.sof Jul 23 21:40 nios2_r1c.sopcinfo SYSID "altera_avalon_sysid" BASE 0x8890 (SYSID_BASE) SPAN 8 Boise State University Microprocessors (ECE330) 1

2 ONCHIP_MEMORY "altera_avalon_onchip_memory2" BASE 0x0 (ONCHIP_MEMORY_BASE) SPAN JTAG_UART LEDR "altera_avalon_jtag_uart" BASE 0x8800 (JTAG_UART_BASE) SPAN 8 IRQ 1 READ_DEPTH 64 READ_THRESHOLD 8 WRITE_DEPTH 8 WRITE_THRESHOLD 2 BASE 0x8810 (LEDR_BASE) DATA_WIDTH 18 PIN_AD12 PIN_AE12 PIN_AE13 PIN_AF13 PIN_AE15 PIN_AD15 PIN_AC14 PIN_AA13 PIN_Y13 PIN_AA14 PIN_AC21 PIN_AD21 PIN_AD23 PIN_AD22 PIN_AC22 PIN_AB21 PIN_AF23 PIN_AE23 LEDR[17] LEDR[16] LEDR[15] LEDR[14] LEDR[13] LEDR[12] LEDR[11] LEDR[10] LEDR[9] LEDR[8] LEDR[7] LEDR[6] LEDR[5] LEDR[4] LEDR[3] LEDR[2] LEDR[1] LEDR[0] Boise State University Microprocessors (ECE330) 2

3 LEDG BASE 0x8820 (LEDG_BASE) DATA_WIDTH 9 PIN_Y12 PIN_Y18 PIN_AA20 PIN_U17 PIN_U18 PIN_V18 PIN_W19 PIN_AF22 PIN_AE22 LEDG[8] LEDG[7] LEDG[6] LEDG[5] LEDG[4] LEDG[3] LEDG[2] LEDG[1] LEDG[0] SWITCH BASE 0x8830 (SWITCH_BASE) DATA_WIDTH 18 PIN_V2 PIN_V1 PIN_U4 PIN_U3 PIN_T7 PIN_P2 PIN_P1 PIN_N1 PIN_A13 PIN_B13 PIN_C13 PIN_AC13 PIN_AD13 PIN_AF14 PIN_AE14 PIN_P25 PIN_N26 PIN_N25 SW[17] SW[16] SW[15] SW[14] SW[13] SW[12] SW[11] SW[10] SW[9] SW[8] SW[7] SW[6] SW[5] SW[4] SW[3] SW[2] SW[1] SW[0] Boise State University Microprocessors (ECE330) 3

4 SEG7 BASE 0x8840 (SEG7_BASE) DATA_WIDTH 32 PIN_V13 PIN_V14 PIN_AE11 PIN_AD11 PIN_AC12 PIN_AB12 PIN_AF10 PIN_AB24 PIN_AA23 PIN_AA24 PIN_Y22 PIN_W21 PIN_V21 PIN_V20 PIN_Y24 PIN_AB25 PIN_AB26 PIN_AC26 PIN_AC25 PIN_V22 PIN_AB23 PIN_W24 PIN_U22 PIN_Y25 PIN_Y26 PIN_AA26 PIN_AA25 PIN_Y23 HEX0[6] HEX0[5] HEX0[4] HEX0[3] HEX0[2] HEX0[1] HEX0[0] HEX1[6] HEX1[5] HEX1[4] HEX1[3] HEX1[2] HEX1[1] HEX1[0] HEX2[6] HEX2[5] HEX2[4] HEX2[3] HEX2[2] HEX2[1] HEX2[0] HEX3[6] HEX3[5] HEX3[4] HEX3[3] HEX3[2] HEX3[1] HEX3[0] PIN_T3 PIN_R6 PIN_R7 PIN_T4 PIN_U2 PIN_U1 PIN_U9 PIN_R3 PIN_R4 PIN_R5 PIN_T9 PIN_P7 PIN_P6 PIN_T2 PIN_M4 PIN_M5 PIN_M3 PIN_M2 PIN_P3 PIN_P4 PIN_R2 PIN_N9 PIN_P9 PIN_L7 PIN_L6 PIN_L9 PIN_L2 PIN_L3 HEX4[6] HEX4[5] HEX4[4] HEX4[3] HEX4[2] HEX4[1] HEX4[0] HEX5[6] HEX5[5] HEX5[4] HEX5[3] HEX5[2] HEX5[1] HEX5[0] HEX6[6] HEX6[5] HEX6[4] HEX6[3] HEX6[2] HEX6[1] HEX6[0] HEX7[6] HEX7[5] HEX7[4] HEX7[3] HEX7[2] HEX7[1] HEX7[0] Boise State University Microprocessors (ECE330) 4

5 KEY1 BASE 0x8850 (KEY1_BASE) DATA_WIDTH 1 EDGE_ "FALLING" PIN_N23 KEY[1] KEY2 BASE 0x8860 (KEY2_BASE) DATA_WIDTH 1 EDGE_ "FALLING" PIN_P23 KEY[2] KEY3 BASE 0x8870 (KEY3_BASE) DATA_WIDTH 1 EDGE_ "FALLING" PIN_W26 KEY[3] Boise State University Microprocessors (ECE330) 5

6 PORTA BASE 0x8880 (PORTA_BASE) DATA_WIDTH 32 EDGE_ "ANY" DE2 PIN Port bit Hdr PIN_F26 PIN_P18 PIN_G24 PIN_G25 PIN_H24 PIN_J24 PIN_H26 PIN_K18 PIN_K21 PIN_K24 PIN_L20 PIN_J26 PIN_L24 PIN_L19 PIN_L25 PIN_L23 PIN_J25 PIN_L21 PIN_K23 PIN_K19 PIN_H19 PIN_H25 PIN_J23 PIN_H23 PIN_K22 PIN_G23 PIN_N18 PIN_F25 PIN_J21 PIN_F24 PIN_E26 PIN_D25 PORTA[31] PORTA[30] PORTA[29] PORTA[28] PORTA[27] PORTA[26] PORTA[25] PORTA[24] PORTA[23] PORTA[22] PORTA[21] PORTA[20] PORTA[19] PORTA[18] PORTA[17] PORTA[16] PORTA[15] PORTA[14] PORTA[13] PORTA[12] PORTA[11] PORTA[10] PORTA[09] PORTA[08] PORTA[07] PORTA[06] PORTA[05] PORTA[04] PORTA[03] PORTA[02] PORTA[01] PORTA[00] GND GND V 3.3 V Boise State University Microprocessors (ECE330) 6

7 // // // file N2_r1.v // Boise State University // ECE330L Processor 1: nios2_r1x.* (sof,pof,ptf) module N2_r1 ( input CLOCK_50, // 50Mhz Clock input [ 3:0] KEY, // I Push Button[3:0] input [17:0] SW, // I DPDT Switch[17:0] output [ 6:0] HEX0,HEX1,HEX2,HEX3, // O 7 Seg Dig output [ 6:0] HEX4,HEX5,HEX6,HEX7, // O 7 Seg Dig output [ 8:0] LEDG, // O LED Green[8:0] output [17:0] LEDR, // O LED Red[17:0] inout [31:0] PORTA // O External Header (JP1) ); wire [31:0] hex_display; parameter parameter CPU1 = 7'b , CPU2 = 7'b ; RevA = 7'b , RevB = 7'b , RevC = 7'b , RevD = 7'b ; defparam BRAND.Dig7 = CPU1; defparam BRAND.Dig6 = RevC; SEG7_top_display BRAND (.CLOCK_50 ( CLOCK_50 ),.reset_n ( reset_n ),.hex_display ( hex_display ),.HEX0( HEX0 ),.HEX1( HEX1 ),.HEX2( HEX2 ),.HEX3( HEX3 ),.HEX4( HEX4 ),.HEX5( HEX5 ),.HEX6( HEX6 ),.HEX7( HEX7 ) ); nios2_r1 Mynios (.clk ( CLOCK_50 ),.reset_n ( KEY[0] ),.out_port_from_the_seg7 ( hex_display ),.in_port_to_the_key1 ( KEY[1] ),.in_port_to_the_key2 ( KEY[2] ),.in_port_to_the_key3 ( KEY[3] ),.out_port_from_the_ledg ( LEDG ),.out_port_from_the_ledr ( LEDR ),.in_port_to_the_switch ( SW ),.bidir_port_to_and_from_the_porta ( PORTA ) ); endmodule Nios2 r1c processor top level module Boise State University Microprocessors (ECE330) 7

Appendix C: DE2 Pin Assignments

Appendix C: DE2 Pin Assignments Appendix C: DE2 Pin Assignments The most commonly used DE2 pin assignments are given in tables that follow, both for the standard DE2 board (with the EP2C35 FPGA) and the DE2-70 (with the EP2C70 FPGA).

More information

LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design

LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Engineering 303 Digital Logic Design LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Build the following designs and verify correct operation. This lab uses hierarchical design. Review

More information

TESTING ON THE DE2 BOARD

TESTING ON THE DE2 BOARD TESTING ON THE DE2 BOARD September 18 th, 2007 CSC343 Fall 2007 Prepared by: Steven Medina PURPOSE The DE2 board is a programmable board with an FPGA chip attached. FPGA stands for Field Programmable Gate

More information

Chapter 1 Overview General Description Key Features Block Diagram... 6

Chapter 1 Overview General Description Key Features Block Diagram... 6 1 CONTENTS Chapter 1 Overview... 4 1.1 General Description... 4 1.2 Key Features... 5 1.3 Block Diagram... 6 Chapter 2 Board Components... 9 2.1 Board Overview... 9 2.2 Configuration, Status and Setup...

More information

Chapter Chapter Chapter General Description Key Features Block Diagram... 7

Chapter Chapter Chapter General Description Key Features Block Diagram... 7 1 Chapter 1... 5 1.1 General Description... 5 1.2 Key Features... 6 1.3 Block Diagram... 7 Chapter 2... 10 Board Components... 10 2.1 Board Overview... 10 2.2 Configuration, Status and Setup... 11 2.3

More information

Altera DE1 Board DE1. Development and Education Board. User Manual. Copyright 2006 Altera Corporation

Altera DE1 Board DE1. Development and Education Board. User Manual. Copyright 2006 Altera Corporation Altera DE1 Board DE1 Development and Education Board User Manual Version 1.1 Copyright 2006 Altera Corporation Chapter 2 Altera DE1 Board This chapter presents the features and design characteristics of

More information

Engineering 303 Digital Logic Design Fall 2018

Engineering 303 Digital Logic Design Fall 2018 Engineering 303 Digital Logic Design Fall 2018 LAB 4: Seven Seg, Full Adder, Ripple Adder, Heirarchical Design Build the following designs and verify correct operation. This lab uses hierarchical design.

More information

Chapter 1 DE2-115 Package Package Contents The DE2-115 Board Assembly Getting Help... 6

Chapter 1 DE2-115 Package Package Contents The DE2-115 Board Assembly Getting Help... 6 1 CONTENTS Chapter 1 DE2-115 Package... 4 1.1 Package Contents... 4 1.2 The DE2-115 Board Assembly... 5 1.3 Getting Help... 6 Chapter 2 Introduction of the Altera DE2-115 Board... 7 2.1 Layout and Components...

More information

Advanced Electronics Lab.

Advanced Electronics Lab. College of Engineering Course Book of 2010-2011 Advanced Electronics Lab. Mr. Araz Sabir Ameen M.Sc. in Electronics & Communications ALTERA DE2 Development and Education Board DE2 Package: The DE2 package

More information

1 OpenVINO Starter Kit User Manual March 15, 2019

1   OpenVINO Starter Kit User Manual March 15, 2019 1 Contents Chapter 1 OpenVINO Starter Kit... 4 1.1 Package Contents... 4 1.2 OpenVINO Starter Kit System CD... 5 1.3 Getting Help... 5 Chapter 2 Introduction of the OpenVINO Starter Kit... 6 2.1 Layout

More information

Chapter 1 PCI Package Package contents Getting Help Revision History...2. Chapter 2 Introduction...3

Chapter 1 PCI Package Package contents Getting Help Revision History...2. Chapter 2 Introduction...3 i Terasic PCI-X Development Board CONTENTS Chapter 1 PCI Package...1 1.1 Package contents...1 1.2 Getting Help...1 1.3 Revision History...2 Chapter 2 Introduction...3 2.1 General Description...3 2.2 Layout

More information

1 TR10a-LPQ User Manual December 10, 2018

1 TR10a-LPQ User Manual   December 10, 2018 1 CONTENTS Chapter 1 Overview... 4 1.1 General Description... 4 1.2 Key Features... 5 1.3 Block Diagram... 6 Chapter 2 Board Components... 9 2.1 Board Overview... 9 2.2 Configuration, Status and Setup...

More information

1.1 Layout and Components Block Diagram of the DE2i-150 Board Control Panel Setup Switches and Push-buttons...

1.1 Layout and Components Block Diagram of the DE2i-150 Board Control Panel Setup Switches and Push-buttons... 1 CONTENTS CHAPTER 1 INTRODUCTION OF THE FPGA SYSTEM OF DE2I-150 BOARD... 3 1.1 Layout and Components... 3 1.2 Block Diagram of the DE2i-150 Board... 5 CHAPTER 2 DE2I-150 CONTROL PANEL... 8 2.1 Control

More information

Altera DE2 Board DE2. Development and Education Board. User Manual. Copyright 2007 Altera Corporation

Altera DE2 Board DE2. Development and Education Board. User Manual. Copyright 2007 Altera Corporation Altera DE2 Board DE2 Development and Education Board User Manual Version 1.41 Copyright 2007 Altera Corporation Altera DE2 Board CONTENTS Chapter 1 DE2 Package...1 1.1 Package Contents...1 1.2 The DE2

More information

Copyright 2009 Terasic Technologies

Copyright 2009 Terasic Technologies Altera DE-70 Board Version.08 Copyright 009 Terasic Technologies Altera DE-70 Board CONTENTS Chapter DE-70 Package.... Package Contents.... The DE-70 Board Assembly.... Getting Help... Chapter Altera DE-70

More information

DE10-Lite User Manual

DE10-Lite User Manual 1 www.terasic.com CONTENTS Chapter 1 Introduction... 3 1. 1 Package Contents... 3 1. 2 System CD... 4 1. 3 Layout and Components... 4 1. 4 Block Diagram of the Board... 6 1. 5 Getting Help... 7 Chapter

More information

1 Manual

1   Manual 1 www.terasic.com CONTENTS CHAPTER 1 OVERVIEW... 4 1.1 GENERAL DESCRIPTION... 4 1.2 KEY FEATURES... 4 1.3 BLOCK DIAGRAM... 6 CHAPTER 2 BOARD COMPONENTS... 9 2.1 BOARD OVERVIEW... 9 2.2 CONFIGURATION, STATUS

More information

IR Receiver Board Reference Manual System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408)

IR Receiver Board Reference Manual System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) IR Receiver Board Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Board Version: 2.0 Document Version: 1.4 Document Date: Copyright 2005-2008,,

More information

Copyright 2009 Terasic Technologies

Copyright 2009 Terasic Technologies Altera DE0 Board Version 1.00 Copyright 2009 Terasic Technologies Altera DE0 Board CONTENTS Chapter 1 DE0 Package...1 1.1 Package Contents...1 1.2 The DE0 Board Assembly...2 Getting Help...2 Chapter 2

More information

1.1 Package Contents DE1-SoC System CD Getting Help Layout and Components... 6

1.1 Package Contents DE1-SoC System CD Getting Help Layout and Components... 6 DE1-SoC User Manual 1 www.terasic.com CONTENTS CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 4 1.1 Package Contents... 4 1.2 DE1-SoC System CD... 5 1.3 Getting Help... 5 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD...

More information

Application Note. AN_434_FT602_UVC_Bus_Master_Sample. Version 1.1. Issue Date:

Application Note. AN_434_FT602_UVC_Bus_Master_Sample. Version 1.1. Issue Date: Issue Date: 2017-04-18 This application note describes the design of an example UVC application that is interfaced to a FTDI FT602 device. Use of FTDI devices in life support and/or safety applications

More information

CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 CHAPTER 3 USING THE DE1-SOC BOARD... 10

CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 CHAPTER 3 USING THE DE1-SOC BOARD... 10 1 CONTENTS CHAPTER 1 DE1-SOC DEVELOPMENT KIT... 3 1.1 PACKAGE CONTENTS... 3 1.2 DE1-SOC SYSTEM CD... 4 1.3 GETTING HELP... 4 CHAPTER 2 INTRODUCTION OF THE DE1-SOC BOARD... 5 2.1 LAYOUT AND COMPONENTS...

More information

DE10-Pro User Manual 1.1. Q

DE10-Pro User Manual 1.1. Q 1.1. Q 1 www.terasic.com Contents Chapter 1 Overview... 6 1.1 General Description... 6 1.2 Key Features... 7 1.3. Block Diagram... 8 1.4. Board Power On... 10 Chapter 2 Board Component... 12 2.1 Board

More information

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1

MPLEMENTATION. Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 ERILOG ESCRIPTION AND MPLEMENTATION OF THE ASIC Part 1: Implementation of the TOC on the DE2 Board using Verilog - Performed in Lab #1 Part 2: Extend the TOC to Achieve a 4-Bit Processor - Done in Other

More information

DE10-Nano User Manual

DE10-Nano User Manual 1 www.terasic.com Chapter 1 Development Kit 3 1.1 Package Contents 3 1.2 System CD 4 1.3 Getting Help 4 Chapter 2 Introduction of the Board 5 2.1 Layout and Components 5 2.2 Block Diagram of the Board

More information

CHAPTER 1 SOCKIT DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE SOCKIT BOARD... 5 CHAPTER 3 USING THE SOCKIT BOARD... 10

CHAPTER 1 SOCKIT DEVELOPMENT KIT... 3 CHAPTER 2 INTRODUCTION OF THE SOCKIT BOARD... 5 CHAPTER 3 USING THE SOCKIT BOARD... 10 1 CONTENTS CHAPTER 1 SOCKIT DEVELOPMENT KIT... 3 1.1 PACKAGE CONTENTS... 3 1.2 SOCKIT SYSTEM CD... 4 1.3 GETTING HELP... 4 CHAPTER 2 INTRODUCTION OF THE SOCKIT BOARD... 5 2.1 LAYOUT AND COMPONENTS... 5

More information

CHAPTER 1 SOCKIT DEVELOPMENT KIT Package Contents SoCKit System CD Getting Help... 5

CHAPTER 1 SOCKIT DEVELOPMENT KIT Package Contents SoCKit System CD Getting Help... 5 SoCKit User Manual 1 www.terasic.com CONTENTS CHAPTER 1 SOCKIT DEVELOPMENT KIT... 4 1.1 Package Contents... 4 1.2 SoCKit System CD... 5 1.3 Getting Help... 5 CHAPTER 2 INTRODUCTION OF THE SOCKIT BOARD...

More information

SAMPA DAQ-board Specification

SAMPA DAQ-board Specification SAMPA DAQ-board Specification Arild Velure 1 January 26, 2015 1 e-mail: Arild.Velure@cern.ch Revision 0.1 Initial draft 0.2 Added user guide and developer guide, updated CnC registers, added DM registers,

More information

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA...

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA... 1 CONTENTS CHAPTER 1 INTRODUCTION... 5 1.1 Features...5 1.2 About the KIT...7 1.3 Getting Help...7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE... 8 2.1 Layout and Components...8 2.2 Block Diagram of the DE0-Nano

More information

DE-10 Super Expansion Board

DE-10 Super Expansion Board www.cbmstuff.com DE-10 Super Expansion Board For Terasic DE-10 Nano FPGA boards Assembly & Technical Information Manual Manual v1.0 Release Date: December 31,2018 Last Revision: January 3, 2018 All material

More information

Chapter 1 DECA Development Kit Package Contents DECA System CD Getting Help... 4

Chapter 1 DECA Development Kit Package Contents DECA System CD Getting Help... 4 DECA User Manual 1 www.terasic.com CONTENTS Chapter 1 DECA Development Kit... 3 1.1 Package Contents... 3 1.2 DECA System CD... 4 1.3 Getting Help... 4 Chapter 2 Introduction of the DECA Board... 5 2.1

More information

Labsheet6: Arithmetic Circuits Simulation

Labsheet6: Arithmetic Circuits Simulation University of Jordan Faculty of Engineering and Technology Department of Computer Engineering Digital Logic Laboratory 0907234 Labsheet6: Arithmetic Circuits Simulation Name: Student ID: Section: Figure1.

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date: EXPERIMENT # 10: Implementing Binary Adders Name: Date: Equipment/Parts Needed: PC (Altera Quartus II V9.1 installed) DE-2 board Objective: Design a half adder by extracting the Boolean equation from a

More information

COM Express FPGA Evaluation Board Document Revision 1.0

COM Express FPGA Evaluation Board Document Revision 1.0 COM Express FPGA Evaluation Board Document Revision 1.0 If it s embedded, it s Kontron. » Table of Contents «1 User Information... 1 1.1 About This Document... 1 1.2 Copyright Notice... 1 1.3 Trademarks...

More information

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13 Quartus Prime Introduction Using VHDL Designs For Quartus Prime 17.0 Contents 1 Introduction 2 2 Background 3 3 Getting Started 4 3.1 Quartus Prime Online Help................................................................................................

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

CALIFORNIA STATE UNIVERSITY, NORTHRIDGE. VHDL Digital Lock design implemtntation on Altera DE2 board AND

CALIFORNIA STATE UNIVERSITY, NORTHRIDGE. VHDL Digital Lock design implemtntation on Altera DE2 board AND CALIFORNIA STATE UNIVERSITY, NORTHRIDGE VHDL Digital Lock design implemtntation on Altera DE2 board AND Area and Time optimization of ASIC FIFO design using Synopsys design compiler A graduate project

More information

CHAPTER 1 INTRODUCTION Features About the KIT Getting Help... 7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE...

CHAPTER 1 INTRODUCTION Features About the KIT Getting Help... 7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE... 1 CONTENTS CHAPTER 1 INTRODUCTION... 5 1.1 Features... 5 1.2 About the KIT... 7 1.3 Getting Help... 7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE... 8 2.1 Layout and Components... 8 2.2 Block Diagram of the

More information

Engr 303 Digital Logic Design Fall 2018

Engr 303 Digital Logic Design Fall 2018 Engr 303 Digital Logic Design Fall 2018 LAB 14 Single Cycle Computer You will implement the single cycle computer given in Figure 8-15 of the Chapter 8 handout. Implement these designs, compile, simulate,

More information

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0 Quartus II Introduction Using Verilog Designs For Quartus II 12.0 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow for

More information

IMPC001-US / -EUR / -AUS PRELIMINARY DATASHEET. Revision

IMPC001-US / -EUR / -AUS PRELIMINARY DATASHEET. Revision IMPC001-US / -EUR / -AUS PRELIMINARY DATASHEET Revision 1.2 20180816 TABLE OF CONTENTS 1 Description... 3 1.1 Cellular support... 3 1.2 Imp: the intelligence on-board... 4 1.3 Application Environment...

More information

CME341 Laboratory Manual

CME341 Laboratory Manual CME341 Laboratory Manual Created by Eric Salt Created June 30, 2012 Revised Nov. 30, 2012 Revised Dec. 13, 2012 (to end of Lab 2) Revised Dec. 18, 2012 (to end of Lab 3) Revised Jan. 13, 2013 (to end of

More information

1. Constraining Designs

1. Constraining Designs 1. Constraining Designs November 2012 QII52001-12.1.0 QII52001-12.1.0 Tis capter discusses te various tools and metods for constraining and re-constraining Quartus II designs in different design flows,

More information

USB SOFT CORE WITH ALTERA NIOS PROCESSOR CHEAH CHEE TEONG

USB SOFT CORE WITH ALTERA NIOS PROCESSOR CHEAH CHEE TEONG USB SOFT CORE WITH ALTERA NIOS PROCESSOR CHEAH CHEE TEONG A project report submitted in partial fulfillment of the requirements for the award of the degree of Master of Engineering (Computer and Microelectronic

More information

Experiment 7 Arithmetic Circuits Design and Implementation

Experiment 7 Arithmetic Circuits Design and Implementation Experiment 7 Arithmetic Circuits Design and Implementation Introduction: Addition is just what you would expect in computers. Digits are added bit by bit from right to left, with carries passed to the

More information

A Responsive Autonomous Robot

A Responsive Autonomous Robot REE-RO A Responsive Autonomous Robot Ree-Ro is a little robot that does not like loud noises or sounds. It will eat the sound, and attempt to find where the sound is. In this implementation. It works best

More information

Supporting Custom Boards with DSP Builder

Supporting Custom Boards with DSP Builder Supporting Custom Boards with DSP Builder April 2003, ver. 1.0 Application Note 221 Introduction As designs become more complex, verification becomes a critical, time consuming process. To address the

More information

Laboratory Exercise 1

Laboratory Exercise 1 Laboratory Exercise 1 Switches, Lights, and Multiplexers The purpose of this exercise is to learn how to connect simple input and output devices to an FPGA chip and implement a circuit that uses these

More information

MAX1000 User Guide. Please read the legal disclaimer at the end of this document. Revision 1.0

MAX1000 User Guide. Please read the legal disclaimer at the end of this document. Revision 1.0 MAX1000 User Guide Please read the legal disclaimer at the end of this document. Revision 1.0 Table of Contents MAX1000 IoT / Maker Board... 5 1.1 About Arrow MAX1000 Board... 5 1.2 Useful Links... 6 1.3

More information

WiFi / Bluetooth Module Data Sheet

WiFi / Bluetooth Module Data Sheet Specification Number : SP-ZZ1MD-J WiFi / Bluetooth Module Data Sheet Cypress CYW43438 WLAN / BT + ST Micro STM32F412 MCU for 802.11b/g/n & Bluetooth 4.1 Electric Imp P/N : imp004m MURATA P/N : LBEE5ZZ1MD-011

More information

MONITORING A SEMAPHORED CROSSROADS USING FPGA DE0

MONITORING A SEMAPHORED CROSSROADS USING FPGA DE0 DOI:.55/SBEEF-27-9 MONITORING A SEMAPHORED CROSSROADS USING FPGA DE G. PREDUSCA, M. BOGDAN Department of Electronics, Telecommunications and Energy Engineering, Valahia University of Targoviste E-mail:

More information

CONTENTS CHAPTER 1 OVERVIEW GENERAL DESCRIPTION KEY FEATURES BLOCK DIAGRAM... 6 CHAPTER 2 BOARD COMPONENTS...

CONTENTS CHAPTER 1 OVERVIEW GENERAL DESCRIPTION KEY FEATURES BLOCK DIAGRAM... 6 CHAPTER 2 BOARD COMPONENTS... TR5 User Manual 1 www.terasic.com October 3, 2016 CONTENTS CHAPTER 1 OVERVIEW... 4 1.1 GENERAL DESCRIPTION... 4 1.2 KEY FEATURES... 4 1.3 BLOCK DIAGRAM... 6 CHAPTER 2 BOARD COMPONENTS... 9 2.1 BOARD OVERVIEW...

More information

24-bit Audio CODEC. Digital Circuit Lab. TA: Po-Chen Wu

24-bit Audio CODEC. Digital Circuit Lab. TA: Po-Chen Wu 24-bit Audio CODEC Digital Circuit Lab TA: Po-Chen Wu Outline Introduction to Audio Signal Architecture Overview Device Initialization Device Operation 2 Introduction to Audio Signal 3 Introduction An

More information

WiFi Module Data Sheet

WiFi Module Data Sheet WiFi Module Data Sheet Broadcom BCM43362 WiFi + ST Micro STM32F405 MCU Tentative P/N : LBWA1ZV1CD-716 1 / 24 The revision history of the product specification Issued Revision Revision Date Code Page Changed

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

Brick Breaker Game. Spring Team Members: Fengyi Song(fs2523), Junchao Zhang(jz2606), Mingrui Liu (ml3663), Wanding Li(wl2501)

Brick Breaker Game. Spring Team Members: Fengyi Song(fs2523), Junchao Zhang(jz2606), Mingrui Liu (ml3663), Wanding Li(wl2501) Brick Breaker Game Team Members: Fengyi Song(fs2523), Junchao Zhang(jz2606), Mingrui Liu (ml3663), Wanding Li(wl2501) I. Introduction and Overview We will implement a simple brick game. Here is how simple

More information

WiFi Module Data Sheet

WiFi Module Data Sheet WiFi Module Data Sheet Cypress BCM43362 WiFi + ST Micro STM32F405 MCU Electric Imp P/N : imp003 MURATA P/N : LBWA1ZV1CD-716 Revision history Specification Number : SP-ZV1CD-J 1 / 24 Issued Revision Revision

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

SISTEMI EMBEDDED. Building a Nios II Computer from scratch. Federico Baronti Last version:

SISTEMI EMBEDDED. Building a Nios II Computer from scratch. Federico Baronti Last version: SISTEMI EMBEDDED Building a Nios II Computer from scratch Federico Baronti Last version: 20160321 1 Introduction Problem: Build a (NIOS II) Computer tailored to application needs Solutions: Use library

More information

W-LAN / LAN Module Data Sheet

W-LAN / LAN Module Data Sheet W-LAN / LAN Module Data Sheet Cypress WLAN / LAN Chipset CYW43907 Electric Imp P/N : imp005 MURATA P/N : LBWA1UZ1GC-901 This Datasheet is a preliminary version, and subject to change without notice. Specification

More information

CSCE 313: Embedded System Design. Introduction. Instructor: Jason D. Bakos

CSCE 313: Embedded System Design. Introduction. Instructor: Jason D. Bakos CSCE 313: Embedded System Design Introduction Instructor: Jason D. Bakos Introduction to CSCE 313 Teaching assistants (Storey, room 2236): Charles Daniels (cad3@email.sc.edu) Office hours: M 10:40 to 11:30,

More information

An SPI interface for the 65(C)02 family of microprocessors

An SPI interface for the 65(C)02 family of microprocessors Rev 4/B Dec 30, 2011 65SPI/B An SPI interface for the 65(C)02 family of microprocessors This device was created to provide a basic SPI interface for the 65xx family of microprocessors. Currently, the only

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In this lab you will get familiar with the concept of using the

More information

Layman definition: Gadgets and devices Technical definition: Self-controlled devices Usually, such systems consist of I/O (input/output) devices such

Layman definition: Gadgets and devices Technical definition: Self-controlled devices Usually, such systems consist of I/O (input/output) devices such Layman definition: Gadgets and devices Technical definition: Self-controlled devices Usually, such systems consist of I/O (input/output) devices such as LCDs, keypads, etc. and other devices like EEPROM

More information

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction Introduction to the Altera SOPC Builder Using Verilog Designs 1 Introduction This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the

More information

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2)

EN2911X: Reconfigurable Computing Lecture 05: Verilog (2) EN2911X: Lecture 05: Verilog (2) Prof. Sherief Reda Division of Engineering, Brown University Fall 09 http://scale.engin.brown.edu Dataflow modeling Module is designed by specifying the data flow, where

More information

Experiment 8 Introduction to VHDL

Experiment 8 Introduction to VHDL Experiment 8 Introduction to VHDL Objectives: Upon completion of this laboratory exercise, you should be able to: Enter a simple combinational logic circuit in VHDL using the Quartus II Text Editor. Assign

More information

Robosoft Systems in association with JNCE presents. Swarm Robotics

Robosoft Systems in association with JNCE presents. Swarm Robotics Robosoft Systems in association with JNCE presents Swarm Robotics What is a Robot Wall-E Asimo ABB Superior Moti ABB FlexPicker What is Swarm Robotics RoboCup ~ 07 Lets Prepare for the Robotics Age The

More information

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step

More information

2. Hardware Connectivity

2. Hardware Connectivity 2. Hardware Connectivity 2.3 Project Connectivity 2.3.1 Test Program for STK500-to-Host Serial Communication The purpose for the hardware setup accomplished from this section is to allow the user to be

More information

Section I. Scripting and Constraint Entry

Section I. Scripting and Constraint Entry Section I. Scripting and Constraint Entry As a result of the increasing complexity of today s FPGA designs and the demand for higher performance, designers must make a large number of complex timing and

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus Prime 15.1 Introduction to the Altera Qsys System Integration Tool For Quartus Prime 15.1 1 Introduction This tutorial presents an introduction to Altera s Qsys system integration tool, which is used to design digital

More information

Standard Logic Chips and National Instruments ELVIS Breadboarding for Combinational Logic Circuits

Standard Logic Chips and National Instruments ELVIS Breadboarding for Combinational Logic Circuits ECE380 Digital Logic: Design Activity #4 Standard Logic Chips and National Instruments ELVIS Breadboarding for Combinational Logic Circuits INTRODUCTION In Design Activity #4 you will use the National

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

Figure 1. Software interface generated by Nios IDE - 1 -

Figure 1. Software interface generated by Nios IDE - 1 - ECE 332L Microprocessors Lab (Fall 2007) Lab 6 Continue (Week 9) Objective: In this lab, you will continue the exploration of interrupts in C using a portion of the Hardware Abstraction Layer (HAL) support

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hardware Organization and Design Lecture 19: Verilog and Processor Performance Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Verilog Basics Hardware description language

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Stefan Kowalewski, 4. November 25 Introduction to Embedded Systems Part 2: Microcontrollers. Basics 2. Structure/elements 3. Digital I/O 4. Interrupts 5. Timers/Counters Introduction to Embedded Systems

More information

Microcomputer System Design

Microcomputer System Design Microcomputer System Design COE305 Lab. What is a Microprocessor? A microprocessor is a multipurpose, clockdriven, register-based electronic device that reads binary instructions from a storage device

More information

DE1-SoC Computer System with ARM Cortex-A9. 1 Introduction. 2 DE1-SoC Computer Contents. 2.1 Hard Processor System. For Quartus Prime 16.

DE1-SoC Computer System with ARM Cortex-A9. 1 Introduction. 2 DE1-SoC Computer Contents. 2.1 Hard Processor System. For Quartus Prime 16. DE1-SoC Computer System with ARM Cortex-A9 For Quartus Prime 16.1 1 Introduction This document describes a computer system that can be implemented on the Intel DE1-SoC development and education board.

More information

Introduction to Micro-controllers. Anurag Dwivedi

Introduction to Micro-controllers. Anurag Dwivedi Introduction to Micro-controllers Anurag Dwivedi Lecture Structure Things to be covered today.. What is a micro-controller? What are the basic features of a microcontroller? How to input and output from

More information

Centre for Instrumentation, Control and Automation User s Guide to the MAD 2 Microcontroller Board

Centre for Instrumentation, Control and Automation User s Guide to the MAD 2 Microcontroller Board Centre for Instrumentation, Control and Automation User s Guide to the MAD 2 Microcontroller Board Mark Simms September 19, 2002 1 2 Analog Input 8 ports, 8/10-bit resolution Digital I/O 8/16 ports Timers

More information

FPGA Development Board Hardware and I/O Features

FPGA Development Board Hardware and I/O Features CHAPTER 2 FPGA Development Board Hardware and I/O Features Photo: The Altera DE1 board contains a Cyclone II FPGA, external SRAM, SDRAM & Flash memory, and a wide assortment of I/O devices and connectors.

More information

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0

Using the SDRAM on Altera s DE1 Board with Verilog Designs. 1 Introduction. For Quartus II 13.0 Using the SDRAM on Altera s DE1 Board with Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how the SDRAM chip on Altera s DE1 Development and Education board can be used with

More information

COMP2121: Microprocessors and Interfacing. I/O Devices (I)

COMP2121: Microprocessors and Interfacing. I/O Devices (I) COMP2121: Microprocessors and Interfacing I/O Devices (I) http://www.cse.unsw.edu.au/~cs2121 Lecturer: Hui Wu Session 2, 2017 1 Overview I/O Ports AVR Ports 2 2 What is I/O? I/O is Input or Output (Input/Output).

More information

Lab 3: Standard Combinational Components

Lab 3: Standard Combinational Components Lab 3: Standard Combinational Components Purpose In this lab you will implement several combinational circuits on the DE1 development board to test and verify their operations. Introduction Using a high-level

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

LAB#2 ( Due Date & Time: See course web page )

LAB#2 ( Due Date & Time: See course web page ) UCSD CSE140L Fall 2014 LAB#2 ( Due Date & Time: See course web page ) Instructor: Dr. Choon Kim Objective Based on the experience gained from LAB#1, learn how to design, simulate, synthesize, program on

More information

AVR Board Setup General Purpose Digital Output

AVR Board Setup General Purpose Digital Output ECE3411 Fall 2016 Lab 2a. AVR Board Setup General Purpose Digital Output Marten van Dijk, Chenglu Jin Department of Electrical & Computer Engineering University of Connecticut Email: {marten.van_dijk,

More information

PDIUSBH11A/H12/D11 Evaluation Kit

PDIUSBH11A/H12/D11 Evaluation Kit Introduction This demo kit uses the PDIUSBH11A (H11A) hub device. The Rev 1.1 Kit precedes the previous release with added functionality and fixes that will be explained in the later part of this application

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

Combinational Verilog Intro. EECS 270 Labs

Combinational Verilog Intro. EECS 270 Labs Combinational Verilog Intro EECS 270 Labs From Schematics to Verilog https://www.engineersgarage.com/articles/field-programmabl e-gate-arrays-fpga https://www.altera.com/content/dam/altera-www/global/en

More information

Quartus II Handbook Volume 2: Design Implementation and Optimization

Quartus II Handbook Volume 2: Design Implementation and Optimization Quartus II Handbook Volume 2: Design Implementation and Optimization Subscribe QII5V2 2014.12.15 101 Innovation Drive San Jose, CA 95134 www.altera.com Constraining Designs 1 2014.06.30 QII5V2 Subscribe

More information

Programming Microcontroller Assembly and C

Programming Microcontroller Assembly and C Programming Microcontroller Assembly and C Course Number CLO : 2 Week : 5-7 : TTH2D3 CLO#2 Student have the knowledge to create basic programming for microcontroller [C3] Understand how to program in Assembly

More information