TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

Size: px
Start display at page:

Download "TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook"

Transcription

1 TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007

2 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced in whole or part by any means without the prior written consent. NOTICE Taiwan Semiconductor Manufacturing Company Ltd. reserves the right to make changes in the contents of this document without notice. No responsibility is assumed by Taiwan Semiconductor Manufacturing Company Ltd. for any infringements of patents or other rights of the third parties that may result from its use. Taiwan Semiconductor Manufacturing Company Ltd. assumes no responsibility for any error that appears in this document.

3 Table of Contents Chapter 1 Introduction 1 Description... 1 Chapter 2 DC Information 2 DC Characteristics... 2 Recommended Operating Conditions... 2 Characterization Conditions... 4 Propagation Delay... 4 Transition Time... 5 Propagation Delay Calculation... 5 Chapter 3 Cell Categories 7 Chapter 4 Simultaneously Switching Output Driving Factors 9 Terminologies and Definitions... 9 Simultaneously Switching Output (SSO)... 9 Simultaneously Switching Noise (SSN)... 9 Driving Index (DI)... 9 Driving Factor (DF)... 9 DF values Chapter 5 Time to Valid State Following a Simultaneous Switching Event 11 Chapter 6 Cell Datasheets 12 Truth Table Section Cell Information Section Pin Capacitance Section Propagation Delay Section Chapter 7 Design Kits Support 14 Chapter 8 Contact Us 15 iii

4 Chapter 9 Datasheets 16 iv

5 Chapter 1 Introduction Description This Databook provides basic information about the TPZ013GV3 Staggered Universal Standard I/O library. The TPZ013GV3 I/O library is designed to optimize I/O performance with core voltage of 1.2V (typical case); I/O voltage of 3.3V (typical case) in the TSMC 0.13um Logic (1.2V/3.3V) process. Design engineers can refer to this book for cell availability, DC characteristics, design kits availability, cell logic function, and so on. The following table provides TPZ013GV3 I/O cell dimension. Table 1.1 Dimension of TPZ013GV3 I/O Cell Design Dimension I/O Height I/O Width Physical Dimension 190μm 30μm Note: Please obtain bonding pads from the TPB013GV bonding pad library. TPZ013GV3 Databook May

6 DC Characteristics Chapter 2 DC Information The following tables summarize the recommended operating conditions and electrical characteristics of TPZ013GV3 library. Recommended Operating Conditions Warning: Permanent damage could occur if the operation exceeds the ranges listed in Table 2.1. Table 2.1 Recommended Operating Conditions Min. Nom. Max. Units V DD Pre-Driver Voltage V V DDPST Post-Driver Voltage V T J Junction Temperature C V I MAX Maximum Input Voltage V TPZ013GV3 Databook May

7 Table 2.2 DC Characteristics Min. Nom. Max. Units V IL Input Low Voltage V V IH Input High Voltage V V T Threshold Point V + Schmitt Trig. Low to High V T Threshold Point V - Schmitt Trig. High to Low V T Threshold Point V Input Leakage V I I = I 3.3V or 0V - - ±10μ A I OZ Tri-state Output Leakage V O = 3.3V or 0V - - ±10μ A R PU Pull-up Resistor 44K 61K 92K Ω R PD Pull-down Resistor 33K 51K 89K Ω V OL Output Low Voltage V V OH Output High Voltage V I OL I OH Low Level Output OL (max) High Level Output OH (min) 2mA ma 4mA ma 8mA ma 12mA ma 16mA ma 24mA ma 2mA ma 4mA ma 8mA ma 12mA ma 16mA ma 24mA ma TPZ013GV3 Databook May

8 Characterization Conditions Timing information is characterized under four operating conditions: Worst-case, Typical-case, Best-case, and Low Temperature. Table 2.3 lists the details of each condition. Table 2.3 Characterization Conditions Type Condition Low Temperature VDDcore = 1.32V VDD IO = 3.6V Temperature = -40 C Process = Fast-Fast process Best case VDDcore = 1.32V VDD IO = 3.6V Temperature = 0 C Process = Fast-Fast process Typical case VDDcore = 1.2V VDD IO = 3.3V Temperature = 25 C Process = Typical-Typical process Worst case VDDcore = 1.08V VDD IO = 3.0V Temperature = 125 C Process = Slow-Slow process Propagation Delay Two different propagation delays, tp LH and tp HL, represent the state change delay for low to high and from high to low transitions. The propagation delay is measured from the 50% point of the input waveform to the 50% point of the output waveform as shown in Figure 2.1 TPZ013GV3 Databook May

9 I 50% O % tp HL 50% % Figure 2.1 The Propagation Delay Transition Time Characterization is based on a method; that is, the 10% and 90% points of the full output swing are used to define the rise and fall transition as illustrated in Figure 2.2. Please refer to the Synopsys.lib file for details. In 90% Out 10% Rise Transition Time Full Output Swing Figure 2.2 The Transition Time Propagation Delay Calculation The propagation delay is a non-linear function of the loads. Using the 5 x 6 lookup table of the Synopsys.lib file, three piece-wise linear functions are created to calculate propagation delays for various load conditions. Each linear function has a dedicated linear equation, and three linear equations are provided to model the delay. Each group equation in the table of propagation delay is based on values extracted from the third row of the 5 x 6 look-up table for your reference. Three groups of linear equations are defined as follows: Group 1: Based on the first and second points of the load index, if a cell has a load that is less than or equal to the second point of the load index, use the linear equation in Group 1 to calculate the propagation delay. Group 2: Based on the third and fourth points of the load index, if a cell has a load that is more than the second point and less than the fifth point of the load index, use the linear equation in Group 2 to calculate the propagation delay. TPZ013GV3 Databook May

10 Group 3: Based on the fifth and sixth points of the load index, if a cell has a load that is more than or equal to the fifth point of the load index, use the linear equation in Group 3 to calculate the propagation delay. A linear equation is formed in the following format: where D = D i + K*C load D = propagation delay (ns) D i = cell intrinsic (unloaded) delay (ns) K = delay factor (ns/pf) C load = value of output load (pf) TPZ013GV3 Databook May

11 Chapter 3 Cell Categories This chapter provides information about cell categories in the TSMC TPZ013GV3 library. Table 3.1 lists the functional description for each cell type. Table 3.1 Cell Descriptions Cell Type PCI33DGZ PCI33SDGZ PCI66DGZ PCI66SDGZ PDBxDGZ Functional Description 3-State Output 33 MHz PCI Buffer Pad with Input and Limited Slew Rate, 5V-Tolerant 3-State Output 33 MHz PCI Buffer Pad with Schmitt Trigger Input and Limited Slew Rate, 5V-Tolerant 3-State Output 66 MHz PCI Buffer Pad with Input and Limited Slew Rate, 5V-Tolerant 3-State Output 66 MHz PCI Buffer Pad with Schmitt Trigger Input and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Input, 5V-Tolerant PDBxSDGZ CMOS 3-State Output Pad with Schmitt Trigger Input, 5V- Tolerant PDDDGZ PDDSDGZ PDDWDGZ Input Pad with Pull-Down, 5V-Tolerant Schmitt Trigger Input Pad with Pull-Down, 5V-Tolerant Input Pad with Controllable Pull-Down, 5V-Tolerant PDDWxDGZ 3-State Output Pad with Input and Controllable Pull-Down, 5V- Tolerant PDDxDGZ PDDxSDGZ PDIDGZ PDISDGZ PDOxCDG PDTxDGZ PDUDGZ PDUSDGZ PDUWDGZ PDUWxDGZ PDUxDGZ CMOS 3-State Output Pad with Input and Pull-Down, 5V-Tolerant CMOS 3-State Output Pad with Schmitt Trigger Input and Pull- Down, 5V-Tolerant Input Pad, 5V-Tolerant Schmitt Trigger Input Pad, 5V-Tolerant CMOS Output Pad CMOS 3-State Output Pad, 5V-Tolerant Input Pad with Pull-Up, 5V-Tolerant Schmitt Trigger Input Pad with Pull-Up, 5V-Tolerant Input Pad with Controllable Pull-Up, 5V-Tolerant CMOS 3-State Output Pad with Input and Controllable Pull-Up, 5V-Tolerant CMOS 3-State Output Pad with Input and Pull-Up, 5V-Tolerant TPZ013GV3 Databook May

12 Cell Type PDUxSDGZ PDXOExDG PDXOxDG Functional Description CMOS 3-State Output Pad with Schmitt Trigger Input Pad and Pull-Up, 5V-Tolerant Crystal Oscillator with High Enable Crystal Oscillator PRBxDGZ CMOS 3-State Output Pad with Input and Limited Slew Rate, 5V- Tolerant PRBxSDGZ PRDWxDGZ PRDxDGZ PRDxSDGZ PROxCDG PRTxDGZ PRUWxDGZ PRUxDGZ PRUxSDGZ PVDD2POC PVDDxDGZ PVSSxDGZ PVDD1ANA PVDD2ANA PVSS1ANA PVSS2ANA PRCUT CMOS 3-State Output Pad with Schmitt Trigger Input and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Input, Controllable Pull-Down, and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Input, Pull-Down, and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Schmitt Trigger Input, Pull-Down, and Limited Slew Rate, 5V-Tolerant CMOS Output Pad with Limited Slew Rate CMOS 3-State Output Pad with Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Input, Controllable Pull-Up, and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Input, Pull-Up, and Limited Slew Rate, 5V-Tolerant CMOS 3-State Output Pad with Schmitt Trigger Input, Pull-Up, and Limited Slew Rate, 5V-Tolerant Power-On Control Cell (Please place one and only one PVDD2POC cell in each digital domain) Power Pad Ground Pad The dedicated power supply to internal macro with core voltage The dedicated power supply to internal macro with I/O voltage The dedicated ground supply for PVDD1ANA The dedicated ground supply for PVDD2ANA The power-cut cell that can be used in between two digital domains with different supplied voltage or can be used to isolate the particular domain from others for noise concern TPZ013GV3 Databook May

13 Chapter 4 Simultaneously Switching Output Driving Factors Terminologies and Definitions Simultaneously Switching Output (SSO) SSO means that a certain number of I/O buffers switching at the same time with the same direction (H L, HZ L or L H, LZ H), which would result in noise on the power/ground lines because of the large di/dt value and the parasitic inductance of the bonding wire on the I/O power/ground cells. Simultaneously Switching Noise (SSN) SSN means the noise produced by the simultaneously switching output buffers. It would change the voltage levels of power/ground nodes, so-called Ground Bounce Effect. Ground Bounce Effect is tested at the device output by keeping one stable output at low 0 or high 1, while all other outputs of the device switch simultaneously. The noise occurred at the stable output node is called Quiet Output Switching (QOS). If the input low voltage is defined as Vil, the QOS of Vil is taken to be the maximum noise that the system can endure. Driving Index (DI) DI is the maximum copies of the specific I/O cell switching from high to low simultaneously without making the voltage on the quiet output 0 higher than V il when single ground cell is applied. We take the QOS of V il as a criterion in defining DI because 1 has more noise margin than 0. For example, in LVTTL specification, the margin of V ih (2.0V) to VD33 (3.3V) is 1.3V in typical corner, which is higher than the margin of V il (0.8V) to ground (0V). Driving Factor (DF) DF is the amount of how the specific output buffer contributes to the SSN on the power/ground rail. The DF value of an output buffer is proportional to di/dt, the derivative of the current on the output buffer. We can obtain DF as follows: DF = 1/DI TPZ013GV3 Databook May

14 DF values All circuit model parameters are listed below: Process: Fast, Fast Temperature: -40 Celsius degree Pre-driver Voltage: 1.32V Post-driver Voltage: 3.6V R, L, C Values: R vss, R vdd = R pin = 0.3 Ohms C vss, C vdd = C pin = 4 pf C load (for 2 ma I/O) = 33 pf C load (for 4 ma I/O) = 50 pf C load (for 8 ma I/O) = 75 pf C load (for 12 ma, 16 ma, 24 ma I/O) = 85 pf L load, L vdd = L pin = 5.2 nh / 7.8 nh / 10.5 nh Note 1: For R, L, and C reference, please refer to TSMC Standard I/O Library General Application Note which provides general information and is available to download at TSMC Online. Note 2: The DF tables are provided at the end of this databook for reference. TPZ013GV3 Databook May

15 Chapter 5 Time to Valid State Following a Simultaneous Switching Event Simultaneous Switching Output (SSO) noise amplitude decreases with time after the peak point passes. If a design with simultaneously switching outputs fails to meet the specifications mentioned in the simulation results section (because of pin count restrictions), designers should sample the data after a period of time to ensure the validity of the sampled data. These time-to-valid-state tables available at the end of this databook provide information about the timing of the state at which the SSO noise has declined to the acceptable value (V IL ), though the number of power/ground pads is not sufficient. We provide the tables that show the stable time for different driving capability I/O buffers with and without slew rate control in 5.2nH case, 7.8 nh case, and the 10.5nH case respectively. In all cases, only one pair of power/ground pads is used. Note: The Time-to-Valid-State tables are provided at the end of this databook for reference. TPZ013GV3 Databook May

16 Chapter 6 Cell Datasheets This chapter provides TSMC TPZ013GV3 datasheet contents. There are four sections included in each cell description: Truth table Cell information Pin capacitance Propagation delay Truth Table Section The truth table lists all possible combinations of input and output signals for the cell. Table 6.1 defines all the symbols used in the datasheet truth table. Table 6.1 Truth Table Symbols Symbol Definition 0 Logic Low 1 Logic High X Z Don t care High Impedance Cell Information Section The cell information section provides information about the number of pads required, internal power consumption, and driving capability. Pin Capacitance Section The pin capacitance table describes the typical loading at each pin of the cell (pf), corresponding to each driving strength. TPZ013GV3 Databook May

17 Propagation Delay Section The propagation delay table describes the approximate delay value of each pin for variable driving strength with different loading. Rise time and fall time are measured at each particular corner. TPZ013GV3 Databook May

18 Chapter 7 Design Kits Support The following design kits/packages are delivered in a standard library release Table 7.1 Deliverable Design Kits Abbreviation rln doc syn vlg vit mdt apf/apt sef gds ctc ibs spi lpe Description Release note Databooks Synopsys db and lib Verilog simulation model VHDL/Vital simulation model Mentor DFTadvisor and Fastscan model Apollo/Astro frame view, layout view and runset files Silicon Ensemble /SOC Encounter TM frame view, layout view and runset files GDSII layout views CeltIC CDB view IBIS kit Spice netlist Layout parasitic extracted spice netlist TPZ013GV3 Databook May

19 Chapter 8 Contact Us The TSMC standard I/O libraries are released under the supervision of the TSMC standard quality assurance (QA) procedure. If you find any errors or encounter any problems with the TPZ013GV3 library, please contact your library distributor or TSMC regional application engineers for immediate assistance. TPZ013GV3 Databook May

20 Chapter 9 Datasheets This chapter provides TSMC TPZ013GV3 datasheets for each cell. Please begin with the page after the example below for details. Cell Schematic Library Name Cell Description PCI33SDGZ 3-STATE OUTPUT 33MHz PCI BUFFER PAD WITH Schmitt Trigger INPUT AND LIMITED SLEW RATE, 5V-Tolerant TPZ013LODG3 TPZ013GV3 Databook May

21 Characterization Condition: Process = Typ, Voltage = Typ, Temp = 25 C Group equation for Propagation Delay calculation (Please refer to page 6 for details) TPZ013GV3 Databook May

22 PCI33DGZx in TPZ013GV3 Digital Library PCI33DGZx TPZ013GV3 Tri State Output 33MHz PCI Buffer Pad with Input and Limited Slew Rate, 5V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) PCI33DGZ Leakage Power Information (W) VDD VDDPST PCI33DGZ 1.27E E 08 PCI33DGZx 1

23 PCI33DGZx in TPZ013GV3 Digital Library Pin Capacitance (pf) C I OEN PAD PCI33DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload PCI33DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PCI33DGZx 2

24 PCI33SDGZx in TPZ013GV3 Digital Library PCI33SDGZx TPZ013GV3 3 State Output 33MHz PCI Buffer Pad with Schmitt Trigger Input and Limited Slew Rate, 5V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) PCI33SDGZ Leakage Power Information (W) VDD VDDPST PCI33SDGZ 1.27E E 08 PCI33SDGZx 1

25 PCI33SDGZx in TPZ013GV3 Digital Library Pin Capacitance (pf) C I OEN PAD PCI33SDGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload PCI33SDGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PCI33SDGZx 2

26 PCI66DGZx in TPZ013GV3 Digital Library PCI66DGZx TPZ013GV3 Tri State Output 66MHz PCI Buffer Pad with Input and Limited Slew Rate, 5V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) PCI66DGZ Leakage Power Information (W) VDD VDDPST PCI66DGZ 1.27E E 08 PCI66DGZx 1

27 PCI66DGZx in TPZ013GV3 Digital Library Pin Capacitance (pf) C I OEN PAD PCI66DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload PCI66DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PCI66DGZx 2

28 PCI66SDGZx in TPZ013GV3 Digital Library PCI66SDGZx TPZ013GV3 Tri State Output 66MHz PCI Buffer Pad with Schmitt Trigger Input and Limited Slew Rate, 5V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) PCI66SDGZ Leakage Power Information (W) VDD VDDPST PCI66SDGZ 1.27E E 08 PCI66SDGZx 1

29 PCI66SDGZx in TPZ013GV3 Digital Library Pin Capacitance (pf) C I OEN PAD PCI66SDGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload PCI66SDGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PCI66SDGZx 2

30 PDBxDGZx in TPZ013GV3 Digital Library PDBxDGZx TPZ013GV3 CMOS Tri State Output Pad with Input, High V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDB02DGZ PDB04DGZ PDB08DGZ PDB12DGZ PDB16DGZ PDB24DGZ Leakage Power Information (W) PDBxDGZx 1

31 PDBxDGZx in TPZ013GV3 Digital Library VDD VDDPST PDB02DGZ 1.27E E 08 PDB04DGZ 1.27E E 08 PDB08DGZ 1.27E E 08 PDB12DGZ 1.27E E 08 PDB16DGZ 1.27E E 08 PDB24DGZ 1.27E E 08 Pin Capacitance (pf) C I OEN PAD PDB02DGZ PDB04DGZ PDB08DGZ PDB12DGZ PDB16DGZ PDB24DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDB02DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf PDBxDGZx 2

32 PDBxDGZx in TPZ013GV3 Digital Library *Cload *Cload *Cload PDB08DGZ PDB12DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDBxDGZx 3

33 PDBxDGZx in TPZ013GV3 Digital Library PDB16DGZ PDB24DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDBxDGZx 4

34 PDBxSDGZx in TPZ013GV3 Digital Library PDBxSDGZx TPZ013GV3 CMOS Tri State Output Pad with Schmitt Trigger Input, High V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z x Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDB02SDGZ PDB04SDGZ PDB08SDGZ PDB12SDGZ PDB16SDGZ PDB24SDGZ Leakage Power Information PDBxSDGZx 1

35 PDBxSDGZx in TPZ013GV3 Digital Library (W) VDD VDDPST PDB02SDGZ 1.27E E 08 PDB04SDGZ 1.27E E 08 PDB08SDGZ 1.27E E 08 PDB12SDGZ 1.27E E 08 PDB16SDGZ 1.27E E 08 PDB24SDGZ 1.27E E 08 Pin Capacitance (pf) C I OEN PAD PDB02SDGZ PDB04SDGZ PDB08SDGZ PDB12SDGZ PDB16SDGZ PDB24SDGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDB02SDGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDBxSDGZx 2

36 PDBxSDGZx in TPZ013GV3 Digital Library PDB04SDGZ PDB08SDGZ PDB12SDGZ (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDBxSDGZx 3

37 PDBxSDGZx in TPZ013GV3 Digital Library PDB16SDGZ PDB24SDGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDBxSDGZx 4

38 PDDDGZx in TPZ013GV3 Digital Library PDDDGZx TPZ013GV3 Input Pad With Pull down, High V Tolerant Truth Table INPUT OUTPUT PAD C 1 1 Z Cell Information No. Pad Req. Power (uw/mhz) PDDDGZ Leakage Power Information (W) VDD VDDPST PDDDGZ 9.51E E 08 Pin Capacitance (pf) C PAD PDDDGZx 1

39 PDDDGZx in TPZ013GV3 Digital Library PDDDGZ Propagation Delay PDDDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDDDGZx 2

40 PDDSDGZx in TPZ013GV3 Digital Library PDDSDGZx TPZ013GV3 Schmitt Trigger Input Pad with Pull Down, High V Tolerant Truth Table INPUT OUTPUT PAD C 1 1 Z Cell Information No. Pad Req. Power (uw/mhz) PDDSDGZ Leakage Power Information (W) VDD VDDPST PDDSDGZ 9.51E E 08 Pin Capacitance (pf) C PAD PDDSDGZ PDDSDGZx 1

41 PDDSDGZx in TPZ013GV3 Digital Library Propagation Delay PDDSDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDDSDGZx 2

42 PDDWDGZx in TPZ013GV3 Digital Library PDDWDGZx TPZ013GV3 Input Pad with Enable Controlled Pull Down, High V Tolerant Truth Table INPUT OUTPUT REN PAD C x 0 0 x Z 0 1 Z x Cell Information No. Pad Req. Power (uw/mhz) PDDWDGZ Leakage Power Information (W) VDD VDDPST PDDWDGZ 1.16E E 08 Pin Capacitance (pf) C PAD REN PDDWDGZx 1

43 PDDWDGZx in TPZ013GV3 Digital Library PDDWDGZ Propagation Delay PDDWDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDDWDGZx 2

44 PDDWxDGZx in TPZ013GV3 Digital Library PDDWxDGZx TPZ013GV3 Tri State Output Pad with Input and Enable Controlled Pull Down, High V Tolerant Truth Table INPUT OUTPUT REN OEN I PAD C x 1 x 0 0 x 1 x x Z x Z x x x Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDDW02DGZ PDDW04DGZ PDDW08DGZ PDDW12DGZ PDDW16DGZ PDDW24DGZ PDDWxDGZx 1

45 PDDWxDGZx in TPZ013GV3 Digital Library Leakage Power Information (W) VDD VDDPST PDDW02DGZ 1.13E E 08 PDDW04DGZ 1.13E E 08 PDDW08DGZ 1.13E E 08 PDDW12DGZ 1.13E E 08 PDDW16DGZ 1.13E E 08 PDDW24DGZ 1.13E E 08 Pin Capacitance (pf) C I OEN PAD REN PDDW02DGZ PDDW04DGZ PDDW08DGZ PDDW12DGZ PDDW16DGZ PDDW24DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDDW02DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDWxDGZx 2

46 PDDWxDGZx in TPZ013GV3 Digital Library PDDW04DGZ PDDW08DGZ PDDW12DGZ (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDWxDGZx 3

47 PDDWxDGZx in TPZ013GV3 Digital Library PDDW16DGZ PDDW24DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDWxDGZx 4

48 PDDxDGZx in TPZ013GV3 Digital Library PDDxDGZx TPZ013GV3 CMOS Tri State Output Pad with Input and Pull Down, High V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDD02DGZ PDD04DGZ PDD08DGZ PDD12DGZ PDD16DGZ PDD24DGZ Leakage Power Information PDDxDGZx 1

49 PDDxDGZx in TPZ013GV3 Digital Library (W) VDD VDDPST PDD02DGZ 9.62E E 08 PDD04DGZ 9.62E E 08 PDD08DGZ 9.62E E 08 PDD12DGZ 9.62E E 08 PDD16DGZ 9.62E E 08 PDD24DGZ 9.62E E 08 Pin Capacitance (pf) C I OEN PAD PDD02DGZ PDD04DGZ PDD08DGZ PDD12DGZ PDD16DGZ PDD24DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDD02DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxDGZx 2

50 PDDxDGZx in TPZ013GV3 Digital Library PDD04DGZ PDD08DGZ PDD12DGZ (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxDGZx 3

51 PDDxDGZx in TPZ013GV3 Digital Library PDD16DGZ PDD24DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxDGZx 4

52 PDDxSDGZx in TPZ013GV3 Digital Library PDDxSDGZx TPZ013GV3 CMOS Tri State Output Pad with Schmitt Trigger Input and Pull Down, High V Tolerant Truth Table INPUT OUTPUT OEN I PAD C 1 x x x Z Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDD02SDGZ PDD04SDGZ PDD08SDGZ PDD12SDGZ PDD16SDGZ PDD24SDGZ Leakage Power Information PDDxSDGZx 1

53 PDDxSDGZx in TPZ013GV3 Digital Library (W) VDD VDDPST PDD02SDGZ 9.62E E 08 PDD04SDGZ 9.62E E 08 PDD08SDGZ 9.62E E 08 PDD12SDGZ 9.62E E 08 PDD16SDGZ 9.62E E 08 PDD24SDGZ 9.62E E 08 Pin Capacitance (pf) C I OEN PAD PDD02SDGZ PDD04SDGZ PDD08SDGZ PDD12SDGZ PDD16SDGZ PDD24SDGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDD02SDGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxSDGZx 2

54 PDDxSDGZx in TPZ013GV3 Digital Library PDD04SDGZ PDD08SDGZ PDD12SDGZ (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxSDGZx 3

55 PDDxSDGZx in TPZ013GV3 Digital Library PDD16SDGZ PDD24SDGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDDxSDGZx 4

56 PDIDGZx in TPZ013GV3 Digital Library PDIDGZx TPZ013GV3 Input Pad, High V Tolerant Truth Table INPUT OUTPUT PAD C Cell Information No. Pad Req. Power (uw/mhz) PDIDGZ Leakage Power Information (W) VDD VDDPST PDIDGZ 1.27E E 08 Pin Capacitance (pf) C PAD PDIDGZ PDIDGZx 1

57 PDIDGZx in TPZ013GV3 Digital Library Propagation Delay PDIDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDIDGZx 2

58 PDISDGZx in TPZ013GV3 Digital Library PDISDGZx TPZ013GV3 Schmitt Trigger Input Pad, High V Tolerant Truth Table INPUT OUTPUT PAD C Cell Information No. Pad Req. Power (uw/mhz) PDISDGZ Leakage Power Information (W) VDD VDDPST PDISDGZ 1.27E E 08 Pin Capacitance (pf) C PAD PDISDGZ PDISDGZx 1

59 PDISDGZx in TPZ013GV3 Digital Library Propagation Delay PDISDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDISDGZx 2

60 PDOxCDGx in TPZ013GV3 Digital Library PDOxCDGx TPZ013GV3 CMOS Output Pad Truth Table INPUT OUTPUT I PAD Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDO02CDG PDO04CDG PDO08CDG PDO12CDG PDO16CDG PDO24CDG Leakage Power Information (W) VDD VDDPST PDO02CDG 1.76E E 08 PDO04CDG 1.76E E 08 PDOxCDGx 1

61 PDOxCDGx in TPZ013GV3 Digital Library PDO08CDG 1.76E E 08 PDO12CDG 1.76E E 08 PDO16CDG 1.76E E 08 PDO24CDG 1.76E E 08 Pin Capacitance (pf) I PAD PDO02CDG PDO04CDG PDO08CDG PDO12CDG PDO16CDG PDO24CDG Propagation Delay PDO02CDG (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload PDO04CDG PDO08CDG PDO12CDG PDO16CDG (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDOxCDGx 2

62 PDOxCDGx in TPZ013GV3 Digital Library PDO24CDG *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDOxCDGx 3

63 PDTxDGZx in TPZ013GV3 Digital Library PDTxDGZx TPZ013GV3 CMOS Tri State Output Pad, High V Tolerant Truth Table INPUT OUTPUT OEN I PAD 1 x Z Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDT02DGZ PDT04DGZ PDT08DGZ PDT12DGZ PDT16DGZ PDT24DGZ Leakage Power Information (W) VDD VDDPST PDT02DGZ 1.71E E 08 PDTxDGZx 1

64 PDTxDGZx in TPZ013GV3 Digital Library PDT04DGZ 1.71E E 08 PDT08DGZ 1.71E E 08 PDT12DGZ 1.71E E 08 PDT16DGZ 1.71E E 08 PDT24DGZ 1.71E E 08 Pin Capacitance (pf) I OEN PAD PDT02DGZ PDT04DGZ PDT08DGZ PDT12DGZ PDT16DGZ PDT24DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDT02DGZ PDT04DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf PDTxDGZx 2

65 PDTxDGZx in TPZ013GV3 Digital Library PDT08DGZ PDT12DGZ PDT16DGZ PDT24DGZ *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDTxDGZx 3

66 PDTxDGZx in TPZ013GV3 Digital Library *Cload *Cload *Cload *Cload *Cload *Cload PDTxDGZx 4

67 PDUDGZx in TPZ013GV3 Digital Library PDUDGZx TPZ013GV3 Input Pad With Pull Up, High V Tolerant Truth Table INPUT OUTPUT PAD C 1 1 Z Cell Information No. Pad Req. Power (uw/mhz) PDUDGZ Leakage Power Information (W) VDD VDDPST PDUDGZ 1.80E E 08 Pin Capacitance (pf) C PAD PDUDGZx 1

68 PDUDGZx in TPZ013GV3 Digital Library PDUDGZ Propagation Delay PDUDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDUDGZx 2

69 PDUSDGZx in TPZ013GV3 Digital Library PDUSDGZx TPZ013GV3 Schmitt Trigger Input Pad with Pull Up, High V Tolerant Truth Table INPUT OUTPUT PAD C 1 1 Z Cell Information No. Pad Req. Power (uw/mhz) PDUSDGZ Leakage Power Information (W) VDD VDDPST PDUSDGZ 1.80E E 08 Pin Capacitance (pf) C PAD PDUSDGZ PDUSDGZx 1

70 PDUSDGZx in TPZ013GV3 Digital Library Propagation Delay PDUSDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDUSDGZx 2

71 PDUWDGZx in TPZ013GV3 Digital Library PDUWDGZx TPZ013GV3 Input Pad with Enable Controlled Pull Up, High V Tolerant Truth Table INPUT OUTPUT REN PAD C x 0 0 x Z 1 1 Z x Cell Information No. Pad Req. Power (uw/mhz) PDUWDGZ Leakage Power Information (W) VDD VDDPST PDUWDGZ 1.45E E 08 Pin Capacitance (pf) C PAD REN PDUWDGZx 1

72 PDUWDGZx in TPZ013GV3 Digital Library PDUWDGZ Propagation Delay PDUWDGZ (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) *Cload *Cload *Cload *Cload *Cload *Cload PDUWDGZx 2

73 PDUWxDGZx in TPZ013GV3 Digital Library PDUWxDGZx TPZ013GV3 Tri State Output Pad with Input and Enable Controlled Pull Up, High V Tolerant Truth Table INPUT OUTPUT REN OEN I PAD C x 1 x 0 0 x 1 x x Z x Z x x x Cell Information No. Pad Req. Power (uw/mhz) Drive Capability (ma) PDUW02DGZ PDUW04DGZ PDUW08DGZ PDUW12DGZ PDUW16DGZ PDUW24DGZ PDUWxDGZx 1

74 PDUWxDGZx in TPZ013GV3 Digital Library Leakage Power Information (W) VDD VDDPST PDUW02DGZ 1.55E E 08 PDUW04DGZ 1.55E E 08 PDUW08DGZ 1.55E E 08 PDUW12DGZ 1.55E E 08 PDUW16DGZ 1.55E E 08 PDUW24DGZ 1.55E E 08 Pin Capacitance (pf) C I OEN PAD REN PDUW02DGZ PDUW04DGZ PDUW08DGZ PDUW12DGZ PDUW16DGZ PDUW24DGZ Propagation Delay (Characterization Condition : Process= Typical, Voltage= Typical, Temp= 25 degree C) (< )pf ( )pf (> )pf *Cload *Cload *Cload PDUW02DGZ *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDUWxDGZx 2

75 PDUWxDGZx in TPZ013GV3 Digital Library PDUW04DGZ PDUW08DGZ PDUW12DGZ (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload (< )pf ( )pf (> )pf *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload *Cload PDUWxDGZx 3

SMIC 0.18 µm I/O Cell Library (SP018W) Data Book

SMIC 0.18 µm I/O Cell Library (SP018W) Data Book SMIC 0.18 µm I/O Cell Library (SP018W) Data Book Version 1.5 Release Date: February 28, 2005 Semiconductor Manufacturing International Corporation SMIC 0.18 µm I/O cell Library (SP018W) Data Book Notice

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

UNISONIC TECHNOLOGIES CO., LTD

UNISONIC TECHNOLOGIES CO., LTD UNINIC TECHNOLOGIES CO., LTD 20-BIT SERIAL TO PARALLEL CONVERTER DESCRIPTION The UTC LS3718 is a 20-bit serial to parallel converter utilizing CMOS Technology. It is incorporates control circuit, shift

More information

HCTL-2017 Quadrature Decoder/Counter Interface ICs

HCTL-2017 Quadrature Decoder/Counter Interface ICs Products > Motion Control Encoder Solutions > Integrated Circuits > Decoder > HCTL-2017 HCTL-2017 Quadrature Decoder/Counter Interface ICs Description HCTL-2xxx series is a direct drop-in replacement for

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

ProASIC3/E SSO and Pin Placement Guidelines

ProASIC3/E SSO and Pin Placement Guidelines ProASIC3/E SSO and Pin Placement Guidelines Introduction SSO Effects Ground bounce and VCC bounce have always been present in digital integrated circuits (ICs). With the advance of technology and shrinking

More information

DS1249Y/AB 2048k Nonvolatile SRAM

DS1249Y/AB 2048k Nonvolatile SRAM 19-5631; Rev 11/10 www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles Low-power CMOS operation

More information

RT54SX T r / T f Experiment

RT54SX T r / T f Experiment 955 East Arques Avenue, Sunnyvale, CA 94086 408-739-1010 RT54SX T r / T f Experiment July 08, 2002 BY Actel Product Engineering 1 DATE: July 08, 2002 DEVICE TYPE: RT54SX16-CQ256E RT54SX32-CQ208P WAFER

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

DS1265Y/AB 8M Nonvolatile SRAM

DS1265Y/AB 8M Nonvolatile SRAM 19-5616; Rev 11/10 www.maxim-ic.com 8M Nonvolatile SRAM FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles

More information

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners.

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners. Features Maximum rated frequency: 133 MHz Low cycle-to-cycle jitter Input to output delay, less than 200ps Internal feedback allows outputs to be synchronized to the clock input Spread spectrum compatible

More information

FM16W08 64Kb Wide Voltage Bytewide F-RAM

FM16W08 64Kb Wide Voltage Bytewide F-RAM Pre-Production FM16W08 64Kb Wide Voltage Bytewide F-RAM Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 100 Trillion (10 14 ) Read/Writes 38 year Data Retention

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

Artisan 0.18um Library

Artisan 0.18um Library Artisan 0.18um Library 478 high-density standard cells Average cell density of 111K gates/sq.mm Multiple drive strengths Routable in 3, 4, 5 or more metal layers Comprehensive design tool support Process

More information

TF90LVDS047-6CG. Quad LVDS Line Driver with Flow-Through Pinout. Description. Features. Applications. Function Diagram. Ordering Information

TF90LVDS047-6CG. Quad LVDS Line Driver with Flow-Through Pinout. Description. Features. Applications. Function Diagram. Ordering Information Features Companion driver to Quad Extended Common Mode LVDS Receiver TF0LVDS048 DC to 400 Mbps / 200 MHz low noise, low skew, low power operation t 350 ps (max) channel-to-channel skew t 250 ps (max) pulse

More information

1.8V/3.0V Single-PLL Clock Generator AK8150C

1.8V/3.0V Single-PLL Clock Generator AK8150C AK8150C 1.8V/3.0V Single-PLL Clock Generator AK8150C Features Input Frequency: 38 MHz (1.8V or 3.0V) PLL Output Frequency: 12 MHz (1.8V or 3.0V) REF Output Frequency: 38 MHz or 19 MHz (1.8V or 3.0V) Low

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

Genesys Logic, Inc. GL831A. SATA / PATA Bridge Controller. Datasheet

Genesys Logic, Inc. GL831A. SATA / PATA Bridge Controller. Datasheet Genesys Logic, Inc. GL831A SATA / PATA Bridge Controller Datasheet Rev. 1.03 Jul 27, 2009 Copyright Copyright 2009 Genesys Logic, Inc. All rights reserved. No part of the materials shall be reproduced

More information

1.8V/3.0V Single-PLL Clock Generator

1.8V/3.0V Single-PLL Clock Generator ASAHI KASEI EMD CORPORATION 1.8V/3.0V Single-PLL Clock Generator Features Input Frequency: 36 MHz (1.8V or 3.0V) PLL Output Frequency: 12 MHz (1.8V or 3.0V) REF Output Frequency: 36 MHz or 18 MHz (1.8V

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

74VHC132 Quad 2-Input NAND Schmitt Trigger

74VHC132 Quad 2-Input NAND Schmitt Trigger 74VHC132 Quad 2-Input NAND Schmitt Trigger General Description The VHC132 is an advanced high speed CMOS 2-input NAND Schmitt Trigger Gate fabricated with silicon gate CMOS technology. It achieves the

More information

FIN1101 LVDS Single Port High Speed Repeater

FIN1101 LVDS Single Port High Speed Repeater FIN1101 LVDS Single Port High Speed Repeater General Description This single port repeater is designed for high speed interconnects utilizing Low Voltage Differential Signaling (LVDS) technology. It accepts

More information

512K x 8 4Mb Asynchronous SRAM

512K x 8 4Mb Asynchronous SRAM SOJ, TSOP, FP-BGA Commercial Temp Industrial Temp 512K x 8 4Mb Asynchronous SRAM GS74108ATP/J/X 8, 10, 12 ns 3.3 V V DD Center V DD and V SS Features Fast access time: 8, 10, 12 ns CMOS low power operation:

More information

DS1216B. SmartWatch/RAM 16K/64K FEATURES PIN ASSIGNMENT PIN DESCRIPTION

DS1216B. SmartWatch/RAM 16K/64K FEATURES PIN ASSIGNMENT PIN DESCRIPTION DS1216B SmartWatch/RAM 16K/64K FEATURES Keeps track of hundredths of seconds, seconds, minutes, hours, days, date of the month, months, and years Converts standard 2K x 8 and 8K x 8 CMOS static RAMs into

More information

SQFlash Industrial SD Card SQFlash Technical Manual

SQFlash Industrial SD Card SQFlash Technical Manual SQFlash Technical Manual REV 0.2 Page 1 of 15 Jul. 9, 2010 CONTENTS 1. Overview... 4 2. Standard Features... 5 3. Additional Features... 6 4. Pin Assignment and Block Diagram... 7 5. Power Consumption...

More information

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table

PI3PCIE V, PCI Express 1-lane, 2:1 Mux/DeMux Switch. Features. Description. Application. Pin Description (Top-side view) Truth Table 3.3V, PCI Express 1-lane, Features 2 Differential Channel, 2:1 Mux/DeMux PCI Express 2.0 Performance, 5.0Gbps Pinout optimized for placement between two PCIe slots Bi-directional operation Low Bit-to-Bit

More information

PI6C182B. Precision 1-10 Clock Buffer. Features. Description. Diagram. Pin Configuration

PI6C182B. Precision 1-10 Clock Buffer. Features. Description. Diagram. Pin Configuration Features Low noise non-inverting 1-10 buffer Supports frequency up to 140 MHz Supports up to four SDRAM DIMMs Low skew (

More information

Freescale Semiconductor, I

Freescale Semiconductor, I MOTOROLA SEMICONDUCTOR TECHNICAL DATA nc. Order number: Rev 3, 08/2004 3.3 V Zero Delay Buffer The is a 3.3 V Zero Delay Buffer designed to distribute high-speed clocks in PC, workstation, datacom, telecom

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

FM1608B 64Kb Bytewide 5V F-RAM Memory

FM1608B 64Kb Bytewide 5V F-RAM Memory Pre-Production FM1608B 64Kb Bytewide 5V F-RAM Memory Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 38 year Data Retention (@ +75

More information

74VHC14 Hex Schmitt Inverter

74VHC14 Hex Schmitt Inverter 74VHC14 Hex Schmitt Inverter General Description June 1993 Revised April 1999 The VHC14 is an advanced high speed CMOS Hex Schmitt Inverter fabricated with silicon gate CMOS technology. It achieves the

More information

Register Programmable Clock Generator AK8141

Register Programmable Clock Generator AK8141 ASAHI KASEI EMD CORPORATION Register Programmable Clock Generator Features Input Frequency: 48MHz/24MHz/12MHz/27MHz (Selectable) Output Frequency: 27MHz 50MHz by 1MHz step, 33.75MHz/40.5MHz/49.5MHz (Selectable)

More information

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC

LC75700T. Key Scan IC. Package Dimensions. Overview. Features CMOS IC Ordering number : ENN7632 CMOS IC LC75700T Key Scan IC Overview The LC75700T is a key scanning LSI that accepts input from up to 30 keys and can control up to four generalpurpose output ports. Therefore

More information

256MB / 512MB / 1GB / 2GB

256MB / 512MB / 1GB / 2GB Description: TEKQ TSD133XXX is a new 133X Super Fast Performance mass-storage system based on innovations in semiconductor technology which designed in advanced SD specification Ver.1.1. It s a special

More information

PI2PCIE2214. PCI Express 2.0, 1-lane, 4:1 Mux/DeMux Switch. Features. Description. Application. Pin Description. Block Diagram.

PI2PCIE2214. PCI Express 2.0, 1-lane, 4:1 Mux/DeMux Switch. Features. Description. Application. Pin Description. Block Diagram. Features 2 Differential Channel, 4:1 Mux/DeMux PCI Express 2.0 performance, 5.0 Gbps Low Bit-to-Bit Skew, 7ps Max. Low Crosstalk: -23dB@3GHz Low Off Isolation: -23dB@3GHz Operating Range: 1.8V ±10% ESD

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

Fast-20 SCSI Parallel Interface. TO: Membership of X3T10 X3T10/94-061r4

Fast-20 SCSI Parallel Interface. TO: Membership of X3T10 X3T10/94-061r4 TO: Membership of X3T10 FROM: SUBJECT: L. Lamers / J. Lohmeyer Fast-20 SCSI proposal for working draft DATE: May 9, 1994 This revision (4) incorporates the changes suggested by the May 5, 1994 Fast-20

More information

74ALVC132 Low Voltage Quad 2-Input NAND Gate with Schmitt Trigger Inputs and 3.6V Tolerant Inputs and. and Outputs. General Description.

74ALVC132 Low Voltage Quad 2-Input NAND Gate with Schmitt Trigger Inputs and 3.6V Tolerant Inputs and. and Outputs. General Description. 74ALC132 Low oltage Quad 2-Input NAND Gate with Schmitt Trigger Inputs and 3.6 Tolerant Inputs and General Description The ALC132 contains four 2-input NAND gates with Schmitt Trigger Inputs. The pin configuration

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM 19-5603; Rev 10/10 NOT RECOMMENDED FOR NEW DESIGNS 64k Nonvolatile SRAM www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during

More information

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247

2.5 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch ADG3247 V/3.3 V, 16-Bit, 2-Port Level Translating, Bus Switch FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable Level

More information

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET DATASHEET ICS548A-03 Description The ICS548A-03 is a low cost, low skew, high-performance general purpose clock designed to produce a set of one output clock, one inverted output clock, and one clock divided-by-two.

More information

Am27C Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP

Am27C Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP FINAL Am27C020 2 Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS Fast access time Speed options as fast as 55 ns Low power consumption 100 µa maximum CMOS standby current JEDEC-approved

More information

Am27C Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP

Am27C Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP FINAL Am27C64 64 Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS Fast access time Speed options as fast as 45 ns Low power consumption 20 µa typical CMOS standby current JEDEC-approved pinout

More information

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3 PCIe 3.0 Clock Generator with 4 HCSL Outputs Features PCIe 3.0 complaint PCIe 3.0 Phase jitter: 0.48ps RMS (High Freq. Typ.) LVDS compatible outputs Supply voltage of 3.3V ±5% 25MHz crystal or clock input

More information

Twisted-pair cable (either twisted-flat or discrete wire twisted pairs) should be used with differential transceivers.

Twisted-pair cable (either twisted-flat or discrete wire twisted pairs) should be used with differential transceivers. 1 Connecting devices 1.1 Connecting devices with single-ended transceivers The maximum cumulative length of the signal path from terminator to terminator when using single-ended transceivers shall be 1

More information

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers

±15kV ESD-Protected, Single/Dual/Octal, CMOS Switch Debouncers 19-477; Rev 1; 1/99 ±15k ESD-Protected, Single/Dual/Octal, General Description The are single, dual, and octal switch debouncers that provide clean interfacing of mechanical switches to digital systems.

More information

DS1258Y/AB 128k x 16 Nonvolatile SRAM

DS1258Y/AB 128k x 16 Nonvolatile SRAM www.maxim-ic.com FEATURES 10-Year Minimum Data Retention in the Absence of External Power Data is Automatically Protected During a Power Loss Separate Upper Byte and Lower Byte Chip- Select Inputs Unlimited

More information

256K x 16 4Mb Asynchronous SRAM

256K x 16 4Mb Asynchronous SRAM FP-BGA Commercial Temp Industrial Temp 256K x 16 4Mb Asynchronous SRAM GS74117AX 8, 10, 12 ns 3.3 V V DD Center V DD and V SS Features Fast access time: 8, 10, 12 ns CMOS low power operation: 130/105/95

More information

ACE24AC64 Two-wire Serial EEPROM

ACE24AC64 Two-wire Serial EEPROM Description The ACE24AC64 series are 65,536 bits of serial Electrical Erasable and Programmable Read Only Memory, commonly known as EEPROM. They are organized as 8192 words of 8 bits (one byte) each. The

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. APPLICATION NOTE A V A I L A B L E AN61 16K X25160 2K x 8 Bit SPI Serial

More information

Drawing code Package Tape Reel 8-Pin DIP DP008-F 8-Pin SOP(JEDEC) FJ008-A FJ008-D FJ008-D 8-Pin TSSOP FT008-A FT008-E FT008-E

Drawing code Package Tape Reel 8-Pin DIP DP008-F 8-Pin SOP(JEDEC) FJ008-A FJ008-D FJ008-D 8-Pin TSSOP FT008-A FT008-E FT008-E Rev. 3.2_ CMOS SERIAL E 2 PROM Features The is a high speed, low current consumption, 8 K-bit serial E 2 PROM with a wide operating voltage range. It is organized as 512-word 16-bit respectively. Each

More information

2-Mbit (128K x 16) Static RAM

2-Mbit (128K x 16) Static RAM 2-Mbit (128K x 16) Static RAM Features Temperature Ranges Industrial: 40 C to 85 C Automotive-A: 40 C to 85 C Automotive-E: 40 C to 125 C High speed: 55 ns Wide voltage range: 2.7V 3.6V Ultra-low active,

More information

ACE24AC02A1 Two-wire Serial EEPROM

ACE24AC02A1 Two-wire Serial EEPROM Description The ACE24AC02A1 is 2048 bits of serial Electrical Erasable and Programmable Read Only Memory, commonly known as EEPROM. They are organized as 256 words of 8 bits (1 byte) each. The devices

More information

QUICKSWITCH PRODUCTS 2.5V / 3.3V 8-BIT HIGH BANDWIDTH BUS SWITCH

QUICKSWITCH PRODUCTS 2.5V / 3.3V 8-BIT HIGH BANDWIDTH BUS SWITCH QUICKSWITCH PRODUCTS 2.5V / 3.3V -BIT HIGH BANDWIDTH BUS SWITCH IDTQS3VH244 FEATURES: N channel FET switches with no parasitic diode to VCC Isolation under power-off conditions No DC path to VCC or GND

More information

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621 企业网站.zxlcd.com

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621   企业网站.zxlcd.com http://wwwzxlcdcom 4 SEG / 6 COM DRIVER & CONTROLLER FOR DOT MATRIX LCD June 2 Ver Contents in this document are subject to change without notice No part of this document may be reproduced or transmitted

More information

S-2900A. Rev.1.1. CMOS 512-bit SERIAL E 2 PROM

S-2900A. Rev.1.1. CMOS 512-bit SERIAL E 2 PROM Rev.1.1 CMOS 512-bit SERIAL E 2 PROM S-29A The S-29A is a wide operating voltage range, low power consumption 512-bit E 2 PROM. The organization is 64-word 8-bit, and can be read or written serially. It

More information

64K x 16 1Mb Asynchronous SRAM

64K x 16 1Mb Asynchronous SRAM TSOP, FP-BGA Commercial Temp Industrial Temp 64K x 16 1Mb Asynchronous SRAM GS71116AGP/U 7, 8, 10, 12 ns 3.3 V V DD Center V DD and V SS Features Fast access time: 7, 8, 10, 12 ns CMOS low power operation:

More information

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT

UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT UNISONIC TECHNOLOGIES CO.,LTD. UL318 Preliminary LINEAR INTEGRATED CIRCUIT SERIAL-INTERFACED 10-DIGIT LED CONTROLLER IC WITH KEYSCAN DESCRIPTION The UL318 is a compact LED controller and driver that interface

More information

74VCX00 Low Voltage Quad 2-Input NAND Gate with 3.6V Tolerant Inputs and Outputs

74VCX00 Low Voltage Quad 2-Input NAND Gate with 3.6V Tolerant Inputs and Outputs 74CX00 Low oltage Quad 2-Input NAND Gate with 3.6 Tolerant Inputs and Outputs General Description The CX00 contains four 2-input NAND gates. This product is designed for low voltage (1.65 to 3.6) CC applications

More information

ACE24AC128 Two-wire Serial EEPROM

ACE24AC128 Two-wire Serial EEPROM Description The ACE24AC128 series are 131,072 bits of serial Electrical Erasable and Programmable Read Only Memory, commonly known as EEPROM. They are organized as 16,384 words of 8 bits (one byte) each.

More information

ESMT M24L416256SA. 4-Mbit (256K x 16) Pseudo Static RAM. Features. Functional Description. Logic Block Diagram

ESMT M24L416256SA. 4-Mbit (256K x 16) Pseudo Static RAM. Features. Functional Description. Logic Block Diagram PSRAM 4-Mbit (256K x 16) Pseudo Static RAM Features Wide voltage range: 2.7V 3.6V Access time: 55 ns, 60 ns and 70 ns Ultra-low active power Typical active current: 1 ma @ f = 1 MHz Typical active current:

More information

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18 2M x 8 Static RAM Features High speed t AA = 8, 10, 12 ns Low active power 1080 mw (max.) Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic power-down when deselected TTL-compatible inputs

More information

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4.

UMD 1423 UNITED MICRO DEVICE INC. Pi filter array with ESD protection. PRODUCT DESCRIPTION APPLICATIONS. This device has 20-bumps 4. PRODUCT DESCRIPTION UMD1423 is a Pi filter array with TVS diodes for ESD protection. This device has six Pi filters integrated along with four channels of ESD protection. The Pi filters have values of

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Not recommended for new designs

Not recommended for new designs Eight Output Differential Buffer for PCI-Express Recommended Application: DB800 Intel Yellow Cover part with PCI-Express support. Output Features: 8-0.7V current-mode differential output pairs Supports

More information

T1/E1 CLOCK MULTIPLIER. Features

T1/E1 CLOCK MULTIPLIER. Features DATASHEET ICS548-05 Description The ICS548-05 is a low-cost, low-jitter, high-performace clock synthesizer designed to produce x16 and x24 clocks from T1 and E1 frequencies. Using IDT s patented analog/digital

More information

DS1834/A/D Dual EconoReset with Pushbutton

DS1834/A/D Dual EconoReset with Pushbutton Dual EconoReset with Pushbutton www.dalsemi.com FEATURES 5V power-on reset 3.3V power-on reset Internal power is drawn from higher of either the input or the 3.3V IN input Excellent for systems designed

More information

G12 -p 3.3 V, 4 ma, 5-Volt Tolerant, Fail-Safe, General Purpose I/O Buffers Datasheet

G12 -p 3.3 V, 4 ma, 5-Volt Tolerant, Fail-Safe, General Purpose I/O Buffers Datasheet G12 -p 3.3 V, 4 ma, 5-Volt Tolerant, Fail-Safe, General Purpose I/O Buffers Datasheet LSI Logic Corporation provides the following driver/receiver input/output (I/O) cells for use as general purpose I/O

More information

COP472-3 Liquid Crystal Display Controller

COP472-3 Liquid Crystal Display Controller COP472-3 Liquid Crystal Display Controller General Description The COP472 3 Liquid Crystal Display (LCD) Controller is a peripheral member of the COPSTM family fabricated using CMOS technology The COP472-3

More information

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Ordering number : ENN6370A CMOS IC LC75808E, 75808W 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Overview The LC75808E and LC75808W are 1/8 to 1/10 duty LCD display drivers that can directly

More information

DS1215. Phantom Time Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION

DS1215. Phantom Time Chip FEATURES PIN ASSIGNMENT PIN DESCRIPTION DS1215 Phantom Time Chip FEATURES Keeps track of hundredths of seconds, seconds, minutes, hours, days, date of the month, months, and years Adjusts for months with fewer than 31 days Leap year automatically

More information

Course Introduction. Content: 21 pages 4 questions. Learning Time: 35 minutes

Course Introduction. Content: 21 pages 4 questions. Learning Time: 35 minutes Course Introduction Purpose: The intent of this course is to provide embedded control engineers with valuable implementation instructions on HCS08 port pins and the Keyboard Interrupt (KBI) module. Objectives:

More information

G12 -p ATA V, 5-Volt Tolerant, Fail-Safe I/O Buffer Datasheet

G12 -p ATA V, 5-Volt Tolerant, Fail-Safe I/O Buffer Datasheet G12 -p ATA100 3.3 V, 5-Volt Tolerant, Fail-Safe I/O Buffer Datasheet The ATA100 I/O buffer provides on-chip input/output (I/O) signaling for application-specific integrated circuit (ASIC) chips implemented

More information

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245

2.5 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 V/3.3 V, 8-Bit, 2-Port Level Translating, Bus Switch ADG3245 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.244 Gbps V/3.3 V Supply Operation Selectable

More information

FIN1102 LVDS 2 Port High Speed Repeater

FIN1102 LVDS 2 Port High Speed Repeater LVDS 2 Port High Speed Repeater General Description This 2 port repeater is designed for high speed interconnects utilizing Low Voltage Differential Signaling (LVDS) technology. The FIN1102 accepts and

More information

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM 16K-Bit CMOS PARALLEL EEPROM HALOGENFREE LEAD TM FREE FEATURES Fast Read Access Times: 200 ns Low Power CMOS Dissipation: Active: 25 ma Max. Standby: 100 µa Max. Simple Write Operation: On-Chip Address

More information

GT24C02. 2-Wire. 2Kb Serial EEPROM (Smart Card application)

GT24C02. 2-Wire. 2Kb Serial EEPROM (Smart Card application) ADVANCED GT24C02 2-Wire 2Kb Serial EEPROM (Smart Card application) www.giantec-semi.com a0 1/19 Table of Content 1 FEATURES...3 2 DESCRIPTION...4 3 PIN CONFIGURATION...5 4 PIN DESCRIPTIONS...6 5 BLOCK

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

USB1T1102 Universal Serial Bus Peripheral Transceiver with Voltage Regulator

USB1T1102 Universal Serial Bus Peripheral Transceiver with Voltage Regulator Universal Serial Bus Peripheral Transceiver with Voltage Regulator General Description This chip provides a USB Transceiver functionality with a voltage regulator that is compliant to USB Specification

More information

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16 64K x 16 Static RAM Features Pin- and function-compatible with CY7C1021BV33 High speed t AA = 8, 10, 12, and 15 ns CMOS for optimum speed/power Low active power 360 mw (max.) Data retention at 2.0V Automatic

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5

ILI2511. ILI2511 Single Chip Capacitive Touch Sensor Controller. Specification ILI TECHNOLOGY CORP. Version: V1.4. Date: 2018/7/5 Single Chip Capacitive Touch Sensor Controller Specification Version: V1.4 Date: 2018/7/5 ILI TECHNOLOGY CORP. 8F., No.1, Taiyuan 2 nd St., Zhubei City, Hsinchu County 302, Taiwan (R.O.C.) Tel.886-3-5600099;

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems Interfacing Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems April 2008 AN-447-1.1 Introduction Altera Cyclone III devices are compatible and support 3.3/3.0/2.5-V LVTTL/LVCMOS I/O standards. This application

More information

1 Megabit Serial Flash EEPROM SST45LF010

1 Megabit Serial Flash EEPROM SST45LF010 EEPROM FEATURES: Single.0-.V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode 0 and Mode Byte Serial Read with Single Command Superior Reliability Endurance: 00,000 Cycles (typical)

More information

SGM Channel, 6th-Order Video Filter Driver for SD/HD

SGM Channel, 6th-Order Video Filter Driver for SD/HD PRODUCT DESCRIPTION The SGM9346 video filter is intended to replace passive LC filters and drivers with an integrated device. Six 6th-order Butterworth filters provide improved image quality compared to

More information

CW211 Specification High-Speed USB2.0(480Mbps)DPDT Switch

CW211 Specification High-Speed USB2.0(480Mbps)DPDT Switch CW211 Specification High-Speed USB2.0(480Mbps)DPDT Switch Chipwiser Technology Co.Ltd Copyright@2009,Chipwiser Technology Co.Ltd All right reserved Chipwiser Technology 1/9 GENERAL DESCRIPTION CW211 is

More information

DATASHEET X24C Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM

DATASHEET X24C Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM DATASHEET X24C45 256 Bit, 16 x 16 Bit Serial AUTOSTORE NOVRAM FN8104 Rev 0.00 FEATURES AUTOSTORE NOVRAM Automatically performs a store operation upon loss of V CC Single 5V supply Ideal for use with single

More information

PL2775 SuperSpeed USB 3.0 to Dual SATA Bridge Controller Product Datasheet

PL2775 SuperSpeed USB 3.0 to Dual SATA Bridge Controller Product Datasheet PL2775 SuperSpeed USB 3.0 to Dual SATA Bridge Controller Product Datasheet Document Revision: 1.0 Document Release: Prolific Technology Inc. 7F, No. 48, Sec. 3, Nan Kang Rd. Nan Kang, Taipei 115, Taiwan,

More information

DS1814/DS1819 5V and 3.3V MicroMonitor

DS1814/DS1819 5V and 3.3V MicroMonitor 5V and 3.3V MicroMonitor www.maxim-ic.com FEATURES Halts and restarts an out-of-control microprocessor Holds microprocessor in check during power transients Automatically restarts microprocessor after

More information

QUICKSWITCH PRODUCTS HIGH-SPEED CMOS QUICKSWITCH DOUBLE-WIDTH BUS SWITCH

QUICKSWITCH PRODUCTS HIGH-SPEED CMOS QUICKSWITCH DOUBLE-WIDTH BUS SWITCH QUICKSWITCH PRODUCTS HIGH-SPEED CMOS QUICKSWITCH DOUBLE-WIDTH BUS SWITCH IDTQS32X2245 FEATURES: Enhanced N channel FET with no inherent diode to Vcc Dual '245 function 25Ω resistor for low noise Low propagation

More information

Features MIC2551A VBUS R S. 1.5k D+ D GND VM D SPD SUS GND. Typical Application Circuit

Features MIC2551A VBUS R S. 1.5k D+ D GND VM D SPD SUS GND. Typical Application Circuit MIC2551 USB Transceiver General Description The MIC2551 is a single chip transceiver that complies with the physical layer specifications of the Universal Serial Bus (USB) 2.0. It supports both full speed

More information

FUSB1500 USB2.0 Full-Speed / Low-Speed Transceiver with Charger Detection

FUSB1500 USB2.0 Full-Speed / Low-Speed Transceiver with Charger Detection FUSB1500 USB2.0 Full-Speed / Low-Speed Transceiver with Charger Detection Features Complies with USB2.0 Specification Supports 12Mbps and 1.5Mbps USB2.0 Speeds - Single Ended (SE) Mode Signaling - Slew-Rate

More information

Am27C Megabit (128 K x 16-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP

Am27C Megabit (128 K x 16-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP FINAL Am27C2048 2 Megabit (128 K x 16-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS Fast access time Speed options as fast as 55 ns Low power consumption 100 µa maximum CMOS standby current JEDEC-approved

More information

CAT22C Bit Nonvolatile CMOS Static RAM

CAT22C Bit Nonvolatile CMOS Static RAM 256-Bit Nonvolatile CMOS Static RAM FEATURES Single 5V Supply Fast RAM Access Times: 200ns 300ns Infinite E 2 PROM to RAM Recall CMOS and TTL Compatible I/O Power Up/Down Protection 100,000 Program/Erase

More information