Image Processing using Dynamic Partial Reconfiguration on Zynq Shruti Karbhari December 10, 2018

Size: px
Start display at page:

Download "Image Processing using Dynamic Partial Reconfiguration on Zynq Shruti Karbhari December 10, 2018"

Transcription

1 Image Processing using Dynamic Partial Reconfiguration on Zynq 7020 Shruti Karbhari December 10, 2018

2 Motivation Image processing algorithms can be resource intensive Depending on the algorithm, they could require multiple DSP elements and block RAMs. DPR provides the ability to selectively swap algorithms in and out of the PL Done using the PCAP interface Required device size can be reduced in this case 2

3 System Overview PL PS SD card DDR AXI-Full Slave Interface AXI WDATA ififo 512*32 iaempty 24-bit RGB data RM1 RGB2gray Y=0.21*R *G *B M U X RP 8-bit grayscale data Output Data Latch 32-bit data ofifo 512*32 AXI-Full Slave Interface AXI RDATA DDR SD card PS AXI Interface FSM PR reset rst irden Input Sync Generator FSM FV,LV,DV RM2 Edge Detection FV,LV,DV out latch_data_op owren oafull Output FSM SW0 (F22) IMG_PROC _EN 3

4 Reconfigurable Partition (RP) Overview 1 Reconfigurable Partition 2 Reconfigurable Modules RM1: RGB2gray Y=0.21*R *G *B RM2: Edge Detection 2-D convolution using the kernel The result from 3 channels is averaged to get the final 8-bit value MUX is used to select between bypassed image or processed image for debugging purpose 4

5 RM2: Edge Detection 2-D convolution using the kernel Border pixels don t have enough context Output is set to zero Negative values after convolution are converted to their absolute values The result from 3 channels is averaged to get the final 8-bit value If the result of the average is more than 255, it is clipped to Line Buffers to provide data for context 5

6 Image Input Process Input image is 256x bit RGB PS sends one line ( bit words) to PL 24-bit RGB data is padded with zeros The almost empty flag of the input FIFO deasserts Almost empty threshold is 256 One line of data is sent to the RM Process continues until all the lines are written 6

7 PL: Input Sync Gen FSM IDLE iempty=1 SOF iaempty=0 IN_LINE irden=1 fv,lv,dv=1 pcnt++ pcnt<256 pcnt<270 iaempty=0 BLANK_HOR irden=0 lv,dv=0 pcnt++ lcnt<256 WAIT_SOL lcnt++ pcnt=0 lcnt=256 START_BLAN K_VER fv=0 lv=dv=1 pcnt=0 lcnt<270 lcnt=270 IN_LINE_BLA NK_VER dv=1 pcnt++ BLANK_HOR_ VER pcnt++ lv=1 pcnt<256 7 pcnt<270

8 PL: Image Output Process Output image is 256x256 8-bit grayscale 4 8-bit pixels are latched and combined to make a 32-bit word i.e. one line = 256/4 = 64 words The almost full flag of the output FIFO deasserts Almost full threshold is 64 One line of data is sent from the RM 8

9 PL: Image Output FSM IDLE oafull=0 & fv=lv=dv=1 LATCH_DATA_1 latch_op=001 pcnt++ fv=lv=dv=1 LATCH_DATA_2 latch_op=010 pcnt++ fv=lv=dv=1 LATCH_DATA_3 latch_op=100 pcnt++ owren=1 fv=lv=dv=1 pcnt<256 CHK_PCNT latch_op=000 owren=0 9

10 Dynamic Partial Reconfiguration Process Start with RGB2Gray bitfile Transfer RGB2Gray bitfile Send image Reset the RP Run image processing Send image Retrieve image Run image processing Transfer Edge Detection bitfile Retrieve image Reset the RP Send image Run image processing Retrieve image 10

11 Results:RGB2Gray Matlab reference HW output 11

12 Results: Edge detection Matlab reference HW output 12

13 Conclusion DPR Challenges Floorplanning Selected Pblock should accommodate elements required by all RMs in the least amount of space Interface definition The interface should be common between the two RMs Proper reset procedure should be followed to ensure the RP starts from an idle state Ability to effectively debug in hardware 13

14 Thank You 14

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-4900/5900: Special Topics Reconfigurable Computing Fall 2018.

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-4900/5900: Special Topics Reconfigurable Computing Fall 2018. MMORY DYNAMIC PARTIAL RCONFIGURATION Notes - Unit 6 INTRODUCTION TO SLF-RCONFIGURABL SYSTMS MOTIVATION Digital systems can be characterized by a series of properties (or objectives): nergy, Performance,

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

RESEARCH ACTIVITIES Self-Reconfigurable Embedded Systems

RESEARCH ACTIVITIES Self-Reconfigurable Embedded Systems RESEARCH ACTIVITIES Self-Reconfigurable Embedded Systems DANIEL LLAMOCCA Electrical and Computer Engineering Department, Oakland University May, 4 th, 2017 Outline Self-Reconfigurable Embedded Systems

More information

Convolution Optimization with Zynq FPGAs

Convolution Optimization with Zynq FPGAs December 2016 Convolution Optimization with Zynq FPGAs With Application to Convolutional Neural Networks Michael Losh, Oluwakemi Adabonyan Electrical and Computer Engineering Department School of Engineering

More information

Instantaneous Cylinder Pressure Estimation

Instantaneous Cylinder Pressure Estimation Instantaneous Cylinder Pressure Estimation JING WU, DANIEL LLAMOCCA, BRIAN SANGEORZAN Electrical and Computer Engineering Department, Oakland University October 30 th, 2017 Outline Introduction Model for

More information

ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board

ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board Team 3 Alim-Karim Jiwan Muhammad Tariq Yu Ting Chen Table of Contents 1 Project Overview... 4 1.1

More information

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Ke He Supervisor: Prof Robert W Stewart Dr Louise Crockett Outline Motivation and Objective Reconfiguration Technologies

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

HEAD HardwarE Accelerated Deduplication

HEAD HardwarE Accelerated Deduplication HEAD HardwarE Accelerated Deduplication Final Report CS710 Computing Acceleration with FPGA December 9, 2016 Insu Jang Seikwon Kim Seonyoung Lee Executive Summary A-Z development of deduplication SW version

More information

Image Processing: Final Exam November 10, :30 10:30

Image Processing: Final Exam November 10, :30 10:30 Image Processing: Final Exam November 10, 2017-8:30 10:30 Student name: Student number: Put your name and student number on all of the papers you hand in (if you take out the staple). There are always

More information

Low Power Image Processing Applications on FPGAs using Dynamic Voltage Scaling and Partial Reconfiguration

Low Power Image Processing Applications on FPGAs using Dynamic Voltage Scaling and Partial Reconfiguration Low Power Image Processing Applications on FPGAs using Dynamic Voltage Scaling and Partial Reconfiguration Ariel Podlubne, Julian Haase, Lester Kalms, Gökhan Akgün, Muhammad Ali, Habib ul hasan Khan, Ahmed

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

05 - Microarchitecture, RF and ALU

05 - Microarchitecture, RF and ALU September 15, 2015 Microarchitecture Design Step 1: Partition each assembly instruction into microoperations, allocate each microoperation into corresponding hardware modules. Step 2: Collect all microoperations

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } }

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } } Introduction: Convolution is a common operation in digital signal processing. In this project, you will be creating a custom circuit implemented on the Nallatech board that exploits a significant amount

More information

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA

PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA PCI LVDS 8T 8 Channel LVDS Serial Interface Dynamic Engineering 435 Park Drive, Ben Lomond, CA 95005 831-336-8891 www.dyneng.com This document contains information of proprietary interest to Dynamic Engineering.

More information

Edge Detection Using SOPC Builder & DSP Builder Tool Flow

Edge Detection Using SOPC Builder & DSP Builder Tool Flow Edge Detection Using SOPC Builder & DSP Builder Tool Flow May 2005, ver. 1.0 Application Note 377 Introduction Video and image processing applications are typically very computationally intensive. Given

More information

A33 Nand Flash Controller Specification

A33 Nand Flash Controller Specification A33 Nand Flash Controller Specification Revision 1.0 Feb.28,2014 Copyright 2014 by Allwinner. All rights reserved Page 1 of 29 DECLARATION THIS DOCUMENTATION IS THE ORIGINAL WORK AND COPYRIGHTED PROPERTY

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-4900/5900: Special Topics Reconfigurable Computing Fall 2017.

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-4900/5900: Special Topics Reconfigurable Computing Fall 2017. AXI MASTR AXI SLAV LCTRICAL AND COMPUTR NGINRING DPARTMNT, OAKLAND UNIVRSITY C-9/59: Special Topics Reconfigurable Computing Fall 7 Notes - Unit 5 MBDDD SYSTMS IN ALL-PROGRAMMABL SOC AXI BUS References:

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

ReconOS: An RTOS Supporting Hardware and Software Threads

ReconOS: An RTOS Supporting Hardware and Software Threads ReconOS: An RTOS Supporting Hardware and Software Threads Enno Lübbers and Marco Platzner Computer Engineering Group University of Paderborn marco.platzner@computer.org Overview the ReconOS project programming

More information

Yet Another Implementation of CoRAM Memory

Yet Another Implementation of CoRAM Memory Dec 7, 2013 CARL2013@Davis, CA Py Yet Another Implementation of Memory Architecture for Modern FPGA-based Computing Shinya Takamaeda-Yamazaki, Kenji Kise, James C. Hoe * Tokyo Institute of Technology JSPS

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

The Design of Sobel Edge Extraction System on FPGA

The Design of Sobel Edge Extraction System on FPGA The Design of Sobel Edge Extraction System on FPGA Yu ZHENG 1, * 1 School of software, Beijing University of technology, Beijing 100124, China; Abstract. Edge is a basic feature of an image, the purpose

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

FlexTiles. Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore

FlexTiles. Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore FlexTiles www.flextiles.eu Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore 21/5/2013 Christophe HURIAUX, Olivier SENTIEYS, Antoine COURTAY, Emmanuel CASSEAU, Quang Hoa

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

Dynamic Partial Reconfiguration

Dynamic Partial Reconfiguration LCTRICAL AND COMPUTR NGINRING DPARTMNT, OAKLAND UNIVRSITY Tutorial: mbedded System Design for Zynq TM SoC RCRLAB@OU Dynamic Partial Reconfiguration OBJCTIVS Implement a project that can be dynamically

More information

Mailbox Interrupt debug 11/11/2016

Mailbox Interrupt debug 11/11/2016 Mailbox Interrupt debug 11/11/2016 In this demo I will be using Vivado 2016.2 to create the HW on the ZC702 board and will simulate an interrupt using the mailbox in the PL to drive an interrupt from the

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

FIFO Generator v13.0

FIFO Generator v13.0 FIFO Generator v13.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Native Interface FIFOs.............................................................. 5

More information

Speed Sign Detection Using Convolutional Neural Network Accelerator IP User Guide

Speed Sign Detection Using Convolutional Neural Network Accelerator IP User Guide Speed Sign Detection Using Convolutional Neural Network Accelerator IP FPGA-RD-02035-1.0 May 2018 Contents Acronyms in This Document... 3 Introduction... 4 Reference Design Overview... 5 Block diagram...

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Advanced Synthesis Techniques

Advanced Synthesis Techniques Advanced Synthesis Techniques Reminder From Last Year Use UltraFast Design Methodology for Vivado www.xilinx.com/ultrafast Recommendations for Rapid Closure HDL: use HDL Language Templates & DRC Constraints:

More information

S6B SEG / 65 COM DRIVER & CONTROLLER FOR STN LCD

S6B SEG / 65 COM DRIVER & CONTROLLER FOR STN LCD 132 SEG / 65 COM DRIVER & CONTROLLER FOR STN LCD June. 2000. Ver. 0.9 Prepared by Kyutae, Lim Kyetae@samsung.co.kr Contents in this document are subject to change without notice. No part of this document

More information

Real-Timeness and System Integrity on a Asymmetric Multi Processing configuration

Real-Timeness and System Integrity on a Asymmetric Multi Processing configuration Real-Timeness and System Integrity on a Asymmetric Multi Processing configuration D&E Event November 2nd Relator: Manuele Papais Sales & Marketing Manager 1 DAVE Embedded Systems DAVE Embedded Systems'

More information

Hardware Acceleration of Feature Detection and Description Algorithms on Low Power Embedded Platforms

Hardware Acceleration of Feature Detection and Description Algorithms on Low Power Embedded Platforms Hardware Acceleration of Feature Detection and Description Algorithms on LowPower Embedded Platforms Onur Ulusel, Christopher Picardo, Christopher Harris, Sherief Reda, R. Iris Bahar, School of Engineering,

More information

Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, NM 87131, USA

Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, NM 87131, USA VLSI Design, Article ID 651943, 24 pages http://dx.doi.org/10.1155/2014/651943 Research Article A Self-Reconfigurable Platform for the Implementation of 2D Filterbanks with Real and Complex-Valued Inputs,

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

A Linux-based Dynamic Partial Reconfiguration System Applied on Xilinx Zynq

A Linux-based Dynamic Partial Reconfiguration System Applied on Xilinx Zynq A Linux-based Dynamic Partial Reconfiguration System Applied on Xilinx Zynq 1 Beihang University Beijing,100191, China E-mail: ldm520@buaaeducn Guoqing Pan Beijing Aerospace Measurement & Control Technology

More information

Speed Sign Detection Using Convolutional Neural Network Accelerator IP Reference Design

Speed Sign Detection Using Convolutional Neural Network Accelerator IP Reference Design Speed Sign Detection Using Convolutional Neural Network Accelerator IP FPGA-RD-02035 Version 1.1 September 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Overview... 5 2.1. Block

More information

Using the FADC250 Module (V1C - 5/5/14)

Using the FADC250 Module (V1C - 5/5/14) Using the FADC250 Module (V1C - 5/5/14) 1.1 Controlling the Module Communication with the module is by standard VME bus protocols. All registers and memory locations are defined to be 4-byte entities.

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating

Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating Energy Optimization of FPGA-Based Stream- Oriented Computing with Power Gating Mohammad Hosseinabady and Jose Luis Nunez-Yanez Department of Electrical and Electronic Engineering University of Bristol,

More information

DPM Demo Kit User s Manual Version: dpm_dk_um_1_0_1.doc

DPM Demo Kit User s Manual Version: dpm_dk_um_1_0_1.doc DPM Demo Kit User s Manual Version: 1.0.1 dpm_dk_um_1_0_1.doc 1 INTRODUCTION... 3 2 INSTALLATION... 5 2.1 HARDWARE DELIVERABLES... 5 2.2 SOFTWARE... 5 2.3 CONFIGURATION DATA... 5 2.4 DIRECTORY STRUCTURE...

More information

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015.

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015. Optimizing HW/SW Partition of a Complex Embedded Systems Simon George November 2015 Zynq-7000 All Programmable SoC HP ACP GP Page 2 Zynq UltraScale+ MPSoC Page 3 HW/SW Optimization Challenges application()

More information

FPGA BASED OBJECT TRACKING SYSTEM PROJECT APPROVAL

FPGA BASED OBJECT TRACKING SYSTEM PROJECT APPROVAL FPGA BASED OBJECT TRACKING SYSTEM PROJECT APPROVAL Design of Embedded System Advanced Course-EDA385 Department of Computer Science, Lund University Submitted By HARSHAVARDHAN KITTUR aso10hki@student.lu.se

More information

Using partial reconfiguration in an embedded message-passing system. FPGA UofT March 2011

Using partial reconfiguration in an embedded message-passing system. FPGA UofT March 2011 Using partial reconfiguration in an embedded message-passing system FPGA Seminar @ UofT March 2011 Manuel Saldaña, Arun Patel ArchES Computing Hao Jun Liu, Paul Chow University of Toronto ArchES-MPI framework

More information

1. A synchronous FIFO can only be used if both the read and write interface share the same clock.

1. A synchronous FIFO can only be used if both the read and write interface share the same clock. FIFO Answers 1. A synchronous FIFO can only be used if both the read and write interface share the same clock. 2. The depth of the FIFO is determined by the number of addressable locations in the memory

More information

Simulink Design Environment

Simulink Design Environment EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 4 Simulink Design Environment Dejan Markovic dejan@ee.ucla.edu Announcements Class wiki Material being constantly updated Please

More information

Copyright Warning & Restrictions

Copyright Warning & Restrictions Copyright Warning & Restrictions The copyright law of the United States (Title 17, United States Code) governs the making of photocopies or other reproductions of copyrighted material. Under certain conditions

More information

Implementing Long-term Recurrent Convolutional Network Using HLS on POWER System

Implementing Long-term Recurrent Convolutional Network Using HLS on POWER System Implementing Long-term Recurrent Convolutional Network Using HLS on POWER System Xiaofan Zhang1, Mohamed El Hadedy1, Wen-mei Hwu1, Nam Sung Kim1, Jinjun Xiong2, Deming Chen1 1 University of Illinois Urbana-Champaign

More information

Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman

Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman Hardware Accelerated SDR Platform for Adaptive Air Interfaces Tarik Kazaz, Christophe Van Praet, Merima Kulin, Pieter Willemen, Ingrid Moerman 27/01/2016 1 Overview Common SDR approach Propposed approach

More information

81920**slide. 1Developing the Accelerator Using HLS

81920**slide. 1Developing the Accelerator Using HLS 81920**slide - 1Developing the Accelerator Using HLS - 82038**slide Objectives After completing this module, you will be able to: Describe the high-level synthesis flow Describe the capabilities of the

More information

Implementation of Hardware Accelerators on Zynq

Implementation of Hardware Accelerators on Zynq Downloaded from orbit.dtu.dk on: Dec 29, 2018 Implementation of Hardware Accelerators on Zynq Toft, Jakob Kenn; Nannarelli, Alberto Publication date: 2016 Document Version Publisher's PDF, also known as

More information

CS 151 Quiz 4. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Quiz 4. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Quiz 4 Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 9 pages including this cover. 2. Write down your Student-Id on the top of

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) DS799 December 14, 2010 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx

More information

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq INF3430/INF4431 Autumn 2016 Version 1.2/06.09.2016 This lab exercise consists of 4 parts, where part 4 is compulsory

More information

MATERIALS PLUS Segmentation Measurement

MATERIALS PLUS Segmentation Measurement Example: Segmentation MATERIALS PLUS Segmentation is a method of image partitioning based on the intensity / gray scale range of its components. Since a phase is detected and its area is estimated on the

More information

借助 SDSoC 快速開發複雜的嵌入式應用

借助 SDSoC 快速開發複雜的嵌入式應用 借助 SDSoC 快速開發複雜的嵌入式應用 May 2017 What Is C/C++ Development System-level Profiling SoC application-like programming Tools and IP for system-level profiling Specify C/C++ Functions for Acceleration Full System

More information

VHDL for Logic Synthesis

VHDL for Logic Synthesis VHDL for Logic Synthesis Overview Design Flow for Hardware Design VHDL coding for synthesis General guidelines for hardware designers This lecture includes the content from: Nitin Yogi, Modelling for Synthesis

More information

Hardware Demonstration Design

Hardware Demonstration Design Hardware Demonstration Design JESD204 Hardware Demonstration User Guide 1 A hardware demonstration design, targeting the Kintex-7 KC705, Zynq-7000 ZC706, Virtex-7 VC709 or Artix-7 AC701 evaluation platforms,

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Estimating Accelerator Performance and Events

Estimating Accelerator Performance and Events Lab Workbook Estimating Accelerator Performance and Events Tracing Estimating Accelerator Performance and Events Tracing Introduction This lab guides you through the steps involved in estimating the expected

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT911 Document Issue Number 1.1 Issue Data: 6th October

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT391 Document Issue Number 1.1 Issue Data: 19th July 2012

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices

Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices Mohammad Hosseinabady and Jose Luis Nunez-Yanez Department of Electrical and Electronic Engineering University of Bristol, UK. Email: {m.hosseinabady,

More information

Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer

Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer FEATURES 2 Analog Channels at up to 160 MHz Sample Rate per Channel 16 Bits of Resolution Bandwidth from 100 KHz to 700 MHz 512 Megabytes

More information

CH7036 User Guide. For hardware configuration guide, please refer to the AN-B009 in detail and contact Chrontel Application Group if necessary.

CH7036 User Guide. For hardware configuration guide, please refer to the AN-B009 in detail and contact Chrontel Application Group if necessary. Chrontel Application Notes CH7036 User Guide 1.0 INTRODUCTION Chrontel CH7036 are specifically designed for consumer electronics device and PC markets for which multiple high definition content display

More information

Laboratory of Applied Robotics

Laboratory of Applied Robotics Laboratory of Applied Robotics OpenCV: Shape Detection Paolo Bevilacqua RGB (Red-Green-Blue): Color Spaces RGB and HSV Color defined in relation to primary colors Correlated channels, information on both

More information

Spiral 1 / Unit 6. Flip-flops and Registers

Spiral 1 / Unit 6. Flip-flops and Registers 1-5.1 Spiral 1 / Unit 6 Flip-flops and Registers 1-5.2 Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

Hardware Acceleration of a Software-based VPN

Hardware Acceleration of a Software-based VPN Hardware Acceleration of a Software-based VPN Furkan Turan Ruan de Clercq, Pieter Maene, Oscar Reparaz Ingrid Verbauwhede KU Leuven - COSIC VPN Introduction VPN (Virtual Private Network) encrypts the communication

More information

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.3 1/ /11/25

ST Sitronix ST7565R. 65 x 132 Dot Matrix LCD Controller/Driver. Ver 1.3 1/ /11/25 ST Sitronix ST7565R 65 x 32 Dot Matrix LCD Controller/Driver Features Direct display of RAM data through the display data RAM. RAM capacity : 65 x 32 = 8580 bits Display duty selectable by select pin /65

More information

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 [Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개 정승혁과장 Senior Application Engineer MathWorks Korea 2015 The MathWorks, Inc. 1 Outline When FPGA, ASIC, or System-on-Chip (SoC) hardware is needed Hardware

More information

KS COM / 132 SEG DRIVER & CONTROLLER FOR STN LCD

KS COM / 132 SEG DRIVER & CONTROLLER FOR STN LCD January.2000 Ver. 4.0 Prepared by: JaeSu, Ko Ko1942@samsung.co.kr Contents in this document are subject to change without notice. No part of this document may be reproduced or transmitted in any form or

More information

27 March 2018 Mikael Arguedas and Morgan Quigley

27 March 2018 Mikael Arguedas and Morgan Quigley 27 March 2018 Mikael Arguedas and Morgan Quigley Separate devices: (prototypes 0-3) Unified camera: (prototypes 4-5) Unified system: (prototypes 6+) USB3 USB Host USB3 USB2 USB3 USB Host PCIe root

More information

Presentation Outline. Data Concentrator Card for ECAL. ECAL Data Volume and Raw Data generation. DCC Conceptual Design

Presentation Outline. Data Concentrator Card for ECAL. ECAL Data Volume and Raw Data generation. DCC Conceptual Design Data Concentrator Card for ECAL Presentation Outline ECAL Data Volume and Raw Data generation DCC Conceptual Design Modeling and Simulation of the Hardware DCC TEAM DCC Requirements João Varela ECAL Raw

More information

Vision Processing with the Canny Edge Detection Reference Design

Vision Processing with the Canny Edge Detection Reference Design 2015-02-14 Vision Processing with the Canny Edge Detection Reference Design AN-732 Subscribe About Canny Edge Detection Canny edge detection is a multistage, vision processing algorithm producing a binary

More information

Project Timing Analysis

Project Timing Analysis Project Timing Analysis Jacob Schneider, Intel Corp Sanjeev Gokhale, Intel Corp Mark McDermott EE 382M Class Notes Overview Brief overview of global timing Example of extracting AT, RAT, and PASSTHROUGHs

More information

Homework deadline extended to next friday

Homework deadline extended to next friday Norm Midterm Grading Finished Stats on course homepage Pickup after this lab lec. Regrade requests within 1wk of posted solution Homework deadline extended to next friday Description Design Conception

More information

Tips for Making Video IP Daniel E. Michek. Copyright 2015 Xilinx.

Tips for Making Video IP Daniel E. Michek. Copyright 2015 Xilinx. Tips for Making Video IP Daniel E Michek Challenges for creating video IP Design Test Many interfaces, protocols, image sizes Asynchronous clock domains for multiple inputs Hard to visualize video when

More information

GigaX API for Zynq SoC

GigaX API for Zynq SoC BUM002 v1.0 USER MANUAL A software API for Zynq PS that Enables High-speed GigaE-PL Data Transfer & Frames Management BERTEN DSP S.L. www.bertendsp.com gigax@bertendsp.com +34 942 18 10 11 Table of Contents

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Offloading Collective Operations to Programmable Logic

Offloading Collective Operations to Programmable Logic Offloading Collective Operations to Programmable Logic Martin Swany (With thanks to Omer Arap) Center for Research in Extreme Scale Computing (CREST) Department of Intelligent Systems Engineering Indiana

More information

DRASTIC: Dynamically Reconfigurable Architecture Systems for Time-varying Image Constraints

DRASTIC: Dynamically Reconfigurable Architecture Systems for Time-varying Image Constraints DRASTIC: Dynamically Reconfigurable Architecture Systems for Time-varying Image Constraints Marios S. Pattichis image and video Processing and Communications Laboratory (ivpcl) Department of Electrical

More information

MMA865xFC Sensor Toolbox User s Guide

MMA865xFC Sensor Toolbox User s Guide Freescale Semiconductor Document Number: MMA865xFCSTUG User s Guide Rev. 0, 10/2012 MMA865xFC Sensor Toolbox User s Guide 1 Introduction The Freescale MMA865xFC sensor toolbox accelerometer kit provides

More information

Lattice Memory Mapped Interface and Lattice Interrupt Interface User Guide

Lattice Memory Mapped Interface and Lattice Interrupt Interface User Guide Lattice Memory Mapped Interface and Lattice Interrupt Interface FPGA-UG-02039-1.1 February 2018 Contents 1. Introduction... 4 2. Lattice Memory Mapped Interface (LMMI)... 4 2.1. Signal Definitions... 4

More information

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II

An Introduction to BORPH. Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II An Introduction to BORPH Hayden Kwok-Hay So University of Hong Kong Aug 2, 2008 CASPER Workshop II Language Design Environment Applications OS System Integration Hardware System Integration Software Language

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna Advanced FPGA Design Jan Pospíšil, CERN BE-BI-BP j.pospisil@cern.ch ISOTDAQ 2018, Vienna Acknowledgement Manoel Barros Marin (CERN) lecturer of ISOTDAQ-17 Markus Joos (CERN) & other organisers of ISOTDAQ-18

More information

Object Counting Using Convolutional Neural Network Accelerator IP Reference Design

Object Counting Using Convolutional Neural Network Accelerator IP Reference Design Object Counting Using Convolutional Neural Network Accelerator IP FPGA-RD-02036 Version 1.1 September 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Related Documentation... 5 2.1.

More information

System Architecture Directions for Networked Sensors[1]

System Architecture Directions for Networked Sensors[1] System Architecture Directions for Networked Sensors[1] Secure Sensor Networks Seminar presentation Eric Anderson System Architecture Directions for Networked Sensors[1] p. 1 Outline Sensor Network Characteristics

More information

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web:

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web: NS9750B-0 Errata 90000530, Rev G Release date: May 2006 Use in conjunction with: NS9750 Hardware Reference, Rev. E Part number: 90000624_E Released: April 2006 SPI slave data output high impedance control

More information

AN4405 Application note

AN4405 Application note Application note Transition from SPC560P50x to SPC570S50x Introduction This application note describes common properties of, and the differences between, the SPC560P50x family and SPC570S50x family. This

More information

Zet x86 open source SoC

Zet x86 open source SoC http://zet.aluzina.org v1.1 19 Feb 2010 Contents 1 2 Contents 1 2 Terasic Altera DE1 - Cyclone II FPGA http://www.terasic.com.tw Physical devices 1 FPGA 2 SDRAM 3 SRAM 4 Flash 5 SD card 6 VGA 7 50 Mhz

More information