Yet Another Implementation of CoRAM Memory

Size: px
Start display at page:

Download "Yet Another Implementation of CoRAM Memory"

Transcription

1 Dec 7, 2013 CA Py Yet Another Implementation of Memory Architecture for Modern FPGA-based Computing Shinya Takamaeda-Yamazaki, Kenji Kise, James C. Hoe * Tokyo Institute of Technology JSPS Research Fellow * Carnegie Mellon University

2 Agenda Background Py Overview Py Microarchitecture Evaluation Conclusion Dec 7, 2013 Shinya T-Y. Tokyo Tech 2

3 Background Dec 7, 2013 Shinya T-Y. Tokyo Tech 3

4 FPGA as SoC Put together various components on a single FPGA CPU core Microblaze (Soft-macro) Cortex-A9 (Hard-macro) CPU Hardware accelerator logic Modeled in traditional RTL Verilog HDL, VHDL Modeled in new modeling tool Bluespec, AutoESL, Chisel, DDRx DRAM interface PCI-express Ethernet, t Ether FPGA HW Acc Interconnect t DRAM I/F HW Acc PCI-E Dec 7, 2013 Shinya T-Y. Tokyo Tech 4

5 Portability Issue of Application Design How to support various FPGA platforms? Different logic size, memory interface, peripherals and I/O property Digilent Atlys (Xilinx Spartan-6 LX45) ScalableCore System (our FPGA system) (Xilinx Spartan-6 LX node) Xilinx ML605 (Xilinx Virtex-6 LX240T) Dec 7, 2013 Shinya T-Y. Tokyo Tech 5

6 IP-core Based System Development To build a system, add IP-cores and connect them IP-cores are connected through a standard on-chip interconnect EDK automatically generates an on-chip interconnection and (some) device-dependent interfaces IP-core List No (or few) annoying steps! FPGA IP-core Instances CPU HW Acc Interconnect HW Acc Interconnect Ether DRAM I/F PCI-E DRAM Xilinx Platform Studio (XPS) Dec 7, 2013 Shinya T-Y. Tokyo Tech 6

7 Abstract Memory System for FPGAs (Connected RAM) [FPGA 11] High-level abstraction for memory management Decoupling computing logics and memory access behaviors Memory access patterns in software model (C language) g Read/Write Communication FIFOs (Registers) Channel Abstracted On-chip Memories Read/Write HW Kernels (Computing Logics) Read Write Co oram Me emory Off-chip Memory Manage Control Threads (Memory Access Pattern in C) Dec 7, 2013 Shinya T-Y. Tokyo Tech 7

8 RTL conversion Tutorial From What 13 Core Logic SRAM Control thread programs Architecture Microarchitecture Control Logic Control Logic Fab bric Cluster DMA Fab bric Cluster DMA High-level synthesis from C to RTL using LLVM FPGA Network on Chip CONNECT Memory Translation (TLBs) Memory Interfaces and Caches NoC generator 6/19/2013 Tutorial 18 Dec 7, 2013 Shinya T-Y. Tokyo Tech 8

9 Py Overview Dec 7, 2013 Shinya T-Y. Tokyo Tech 9

10 Motivation: for EDK Integration of memory architecture for modern EDK-based development flow with standard IP-cores Portable application design with Cooperation with standard IP-cores Accelerator logic Abstraction Standard IP-core Standard On-chip Interconnect CPU core Device-dependent Interfaces Dec 7, 2013 Shinya T-Y. Tokyo Tech 10

11 Py Python-based implementation of memory architecture for modern FPGA EDKs memory abstraction for EDK development flow Key features Control Thread in Python We developed Python-to-Verilog HLS Compiler from scratch AMBA AXI4 Interconnect for on-chip interconnect For IP-core based development on Xilinx Platform Studio (XPS) Parameterized RTL Design Support for User-logic Generate-statement and Parameter-statement analyzed by our original Verilog analysis tool-chain (Pyverilog) Dec 7, 2013 Shinya T-Y. Tokyo Tech 11

12 Comparison with Original Py Language for Control-Thread C Python Supported (Blocking/Non-Blocking) (Blocking/Non-Blocking) Memory Operations Read/Write Read/Write On-chip Interconnect CONNECT NoC [FPGA 12] AMBA AXI4 FSM Granularity in Control Thread Generate Statement Support for User logics Supported FPGAs # Lines of Code LLVM-IR No Xilinx ML605 Altera Terasic DE-4 11,682 lines (w/o CONNECT) Python AST Node Yes Any FPGAs supporting AXI Bus 4,922 lines (w/o Pyverilog) FSM: Finite State Machine LLVM-IR: Low Level Virtual Machine Intermediate Representation AST: Abstract Syntax Tree Dec 7, 2013 Shinya T-Y. Tokyo Tech 12

13 Py Development Flow Py generates an IP-core package from user-logic RTLs and control thread scripts in Python Each part can be replaced with the original s component User-logic (Verilog HDL) Control Threads (Python) RTL Conversion IP-core generation with AXI4 Interface Top design synthesis with AXI4 Logic Control IP-core Hierarchy Signal Integration Analysis Insertion on EDK Python-to- Verilog Compilation Control Signal Port Addition IP-core Packing (RTL,.mpd, and.pao) Synthesis FPGA Bit File Portable Application Design Python-to-Verilog HLS Py Tool-chain Vendor EDA Flow Dec 7, 2013 Shinya T-Y. Tokyo Tech 13

14 FPGA Accelerator with Py IP-core FPGA Py IP (Application) HW Kernels (Computing Logics) Channel Contro l Thread Other AXI IP-core or CPU Memory DMA Cluster DMAC Memory Memory DMA Cluster DMAC Memory Stream DMAC Stream DMAC FSM AXI I/F AXI I/F AXI I/F AXI I/F AXI4 Interconnect DRAM Controller DRAM (Off-chip) Dec 7, 2013 Shinya T-Y. Tokyo Tech 14

15 Py Microarchitecture Dec 7, 2013 Shinya T-Y. Tokyo Tech 15

16 Py Microarchitecture (Logical View) GPIO User User Logic Contro I/O l Register Thread Channel Memory DMAC Stream DMAC FSM Dec 7, 2013 Shinya T-Y. Tokyo Tech 16

17 Py Microarchitecture (Logical View) GPIO Modeled in RTL (Verilog HDL) User User Logic Contro I/O l Register Thread Channel Memory Access Pattern in Python Memory DMAC Stream DMAC FSM Dec 7, 2013 Shinya T-Y. Tokyo Tech 17

18 Py Microarchitecture (Physical View) GPIO Py IP User User Logic Contro I/O l Register Thread Channel Memory DMAC Stream DMAC FSM AXI I/F AXI I/F AXI4 Interconnect FPGA DRAM Controller Dec 7, 2013 Shinya T-Y. Tokyo Tech 18

19 Py Microarchitecture (Physical View) GPIO Parameterized RTL Design Support Py IP User User Logic Contro I/O l Register Thread Memory Channel Stream FSM Control Thread in Python DMAC DMAC AXI I/F AXI I/F AXI4 Master Interface AXI4 Interconnect FPGA DRAM Controller Dec 7, 2013 Shinya T-Y. Tokyo Tech 19

20 Control Thread in Python Operations for objects To/from Memory Data movement pattern with DMA operations between on-chip memory and DRAM To/from Channel Token communication action between user-logic and control thread 0 def calc_sum(times): 1 ram = CoramMemory(idx=0, datawidth=32, size=1024) 2 channel = CoramChannel(idx=0, datawidth=32) 3 addr = 0 4 sum = 0 5 for i in range(times): ram.write(0, addr, 128) channel.write(addr) sum += channel.read() addr += 128 * (32/8) print( sum=, sum) calc_sum(8) User I/O Memory DMAC User Logic # Transfer (off-chip DRAM to BRAM) # Notification to User-logic # Wait for Notification from User-logic # $display Verilog system task Dec 7, 2013 Shinya T-Y. Tokyo Tech 20 Channel Control Thread FSM

21 objects in User Logic objects as standard BRAM or FIFO Very similar interface to the standard memory components User-logic can use their contents in them in the same way Essential parameters to define object characteristics Thread name, ID, data width, address length, CoramMemory1P #(.CORAM_THREAD_NAME( NAME("thread_name name") ),.CORAM_ID(0),.CORAM_ADDR_LEN(ADDR_LEN),.CORAM_DATA_WIDTH(DATA_WIDTH) ) inst_memory (.CLK(CLK),.ADDR(mem_addr),.D(mem_d),.WE(mem _ we),.q(mem_q) ); CoramChannel #(.CORAM_THREAD_NAME("thread_name"),.CORAM_ID(0),.CORAM_ADDR_LEN(CHANNEL_ADDR_LEN),.CORAM_DATA_WIDTH(CHANNEL_DATA_WIDTH) WIDTH) ) inst_channel (.CLK(CLK),.RST(RST),.D(comm_d),.ENQ(comm_enq),.FULL(comm_full),.Q(comm_q),.DEQ(comm _ deq),.empty(comm_empty) ); (a) Memory (b) Channel Dec 7, 2013 Shinya T-Y. Tokyo Tech 21

22 AXI4 Master Interface DMA controller works as AXI4 master IP-core interface HW Kernels (Computing Logic) Addr WrData RdData WrEn Addr WrData RdData WrEn Empty Deque RdData AlmFull Enque WrData Memory (BRAM) Memory (BRAM) DMA Cluster Channel Addr WrData RdData WrEn Addr WrData RdData WrEn Empty Deque RdData AlmFull Enque WrData DMA Controller BramAddr DramAddr Size Control Thread WrEn RdEn Busy Ready FSM Empty Deque RdData AlmFull Enque WrData Ready RdEn RdEn Size Addr AXI Master Interface (Protocol Conversion) AWREADY AWVALID AWLEN AWADDR WREADY WVALID WDATA BVALID ARREADY ARVALID ARLEN ARADDR RVALID RREADY RDATA Write Address Channel Write Data Channel Read Address Channel AXI4 Interconnect Read Data Channel Dec 7, 2013 Shinya T-Y. Tokyo Tech 22

23 For Parameterized RTL design support Generate-statement support by advanced RTL analyzer Not supported by the original compiler Pyverilog: Python-based Tool-chain for Verilog HDL Design Parser Dataflow Analysis Optimization RTL Code Generation Control flow Analysis Graphical Output Dataflow State Machine Dec 7, 2013 Shinya T-Y. Tokyo Tech 23

24 Evaluation Dec 7, 2013 Shinya T-Y. Tokyo Tech 24

25 Evaluation Point: Maximum memory bandwidth utilization Py is a memory abstraction framework Setup 2 FPGA boards EDK Digilent Atlys Spartan-6 LX45 DDR2-800 DRAM 128MB (1.2GB/s*) AXI4 128-bit, 100MHz (1.6GB/s) Xilinx ML605 Virtex-6 LX240T *Due to 300MHz operation DDR3-800 DRAM 512MB (6.4GB/s) AXI4 256-bit, 200MHz (6.4GB/s) Xilinx Platform Studio (14.6) Digilent Atlys (Xilinx Spartan-6 LX45) Xilinx ML605 (Xilinx Virtex-6 LX240T) Dec 7, 2013 Shinya T-Y. Tokyo Tech 25

26 Evaluation: Application Array-sum: calculate summation value of an array Two memories as Double-buffered Varying SIMD width (=# simultaneous ops) to check the effect to the memory bandwidth utilization 4, 8, 16, 32, 64 (bytes) sum + Output MUX s3 s2 s1 s D[3] D[2] D[1] D[0] MUX Memory 0 D[3 ] D[2 ] D[1 ] D[0 ] D[3 ] D[2 ] D[1 ] D[0 ] Memory 1 from DMA Controller 0 from DMA Controller 1 Dec 7, 2013 Shinya T-Y. Tokyo Tech 26

27 Memory Bandwidth Utilization Good bandwidth utilization Atlys: 85.5% (at 16-byte) ML605: 84.9% (at 64-byte) Degradation reasons Sequential (single) transaction for each DMA controller Memory latency directly affects the performance adversely Bandwidth Utilization Atlys (Spartan-6) SIMD size [byte] Bandwidth Utilization ML605 (Virtex-6) SIMD size [byte] Dec 7, 2013 Shinya T-Y. Tokyo Tech 27

28 Conclusion and Dec 7, 2013 Shinya T-Y. Tokyo Tech 28

29 Conclusion Py: Python-based implementation of memory architecture for modern FPGA EDKs Future work Further evaluation on more realistic applications AXI4 slave feature for control thread Tutorial slide Portable application design with Cooperation with standard IP-cores Accelerator logic Abstraction Standard IP-core CPU core Standard On-chip Interconnect Device-dependent Interfaces Automatically managed by EDK Dec 7, 2013 Shinya T-Y. Tokyo Tech 29

30 Py and Pyverilog are ready for public! Py (0.7.0-public) b / /P CRAM Pyverilog (0.6.0-public) 0-public) g y g Thanks! Dec 7, 2013 Shinya T-Y. Tokyo Tech 30

PyCoRAM: Yet Another Implementation of CoRAM Memory Architecture for Modern FPGA-based Computing

PyCoRAM: Yet Another Implementation of CoRAM Memory Architecture for Modern FPGA-based Computing Py: Yet Another Implementation of Memory Architecture for Modern FPGA-based Computing Shinya Kenji Kise Takamaeda-Yamazaki Tokyo Institute of Technology Tokyo Institute of Technology Tokyo, Japan 152-8552

More information

First hour Zynq architecture

First hour Zynq architecture Introduction to the Zynq SOC INF3430/INF4431 Tønnes Nygaard tonnesfn@ifi.uio.no First hour Zynq architecture Computational platforms Design flow System overview PS APU IOP MIO EMIO Datapath PS/PL interconnect

More information

LogiCORE IP AXI BRAM Controller (v1.01a)

LogiCORE IP AXI BRAM Controller (v1.01a) LogiCORE IP AXI BRAM Controller (v1.1a) DS777 December 14, 21 Introduction The AXI BRAM Controller is a soft LogiCORE Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK) tool. The core

More information

AMBA 3 AXI. Protocol Checker. User Guide. r0p1. Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C (ID071309)

AMBA 3 AXI. Protocol Checker. User Guide. r0p1. Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C (ID071309) AMBA 3 AXI Protocol Checker r0p1 User Guide Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C () AMBA 3 AXI Protocol Checker User Guide Copyright 2005, 2006, 2009 ARM. All rights reserved.

More information

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip

SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip SimXMD Simulation-based HW/SW Co-debugging for field-programmable Systems-on-Chip Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto September 4, 2013

More information

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems

SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems University of Toronto FPGA Seminar SimXMD Co-Debugging Software and Hardware in FPGA Embedded Systems Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto

More information

SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems

SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems FPGAworld 2014 SimXMD: Simulation-based HW/SW Co-Debugging for FPGA Embedded Systems Ruediger Willenberg and Paul Chow High-Performance Reconfigurable Computing Group University of Toronto September 9,

More information

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο 2017-2018 Interconnects: AXI Protocol ΗΥ220 - Γιώργος Καλοκαιρινός & Βασίλης Παπαευσταθίου 1 AXI AMBA AXI protocol is targeted at high-performance,

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM Bijal Thakkar 1 and V Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra,

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

PowerPC on NetFPGA CSE 237B. Erik Rubow

PowerPC on NetFPGA CSE 237B. Erik Rubow PowerPC on NetFPGA CSE 237B Erik Rubow NetFPGA PCI card + FPGA + 4 GbE ports FPGA (Virtex II Pro) has 2 PowerPC hard cores Untapped resource within NetFPGA community Goals Evaluate performance of on chip

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

LogiCORE IP AXI DMA (v3.00a)

LogiCORE IP AXI DMA (v3.00a) DS781 March 1, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

AXI Protocol AMBA. Specification. v1.0. Copyright 2003, 2004 ARM Limited. All rights reserved. ARM IHI 0022B

AXI Protocol AMBA. Specification. v1.0. Copyright 2003, 2004 ARM Limited. All rights reserved. ARM IHI 0022B AMBA AXI Protocol v1.0 Specification Copyright 2003, 2004 ARM Limited. All rights reserved. ARM IHI 0022B AMBA AXI Protocol Specification Copyright 2003, 2004 ARM Limited. All rights reserved. Release

More information

LogiCORE IP AXI Video Direct Memory Access v4.00.a

LogiCORE IP AXI Video Direct Memory Access v4.00.a LogiCORE IP AXI Video Direct Memory Access v4.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

4. Functional Description HPS Memory Controller

4. Functional Description HPS Memory Controller November 2012 EMI_RM_017-1.0 4. Functional Description HPS Memory Controller EMI_RM_017-1.0 The hard processor system (HPS) SDRAM controller subsystem provides efficient access to external SDRAM for the

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

LogiCORE IP AXI DMA (v4.00.a)

LogiCORE IP AXI DMA (v4.00.a) DS781 June 22, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

Microblaze for Linux Howto

Microblaze for Linux Howto Microblaze for Linux Howto This tutorial shows how to create a Microblaze system for Linux using Xilinx XPS on Windows. The design is targeting the Spartan-6 Pipistello LX45 development board using ISE

More information

LogiCORE IP Mailbox (v1.00a)

LogiCORE IP Mailbox (v1.00a) DS776 September 21, 2010 Introduction In a multiprocessor environment, the processors need to communicate data with each other. The easiest method is to set up inter-processor communication through a mailbox.

More information

ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board

ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board ECE532 Design Project Group Report Disparity Map Generation Using Stereoscopic Camera on the Atlys Board Team 3 Alim-Karim Jiwan Muhammad Tariq Yu Ting Chen Table of Contents 1 Project Overview... 4 1.1

More information

Functional Description HPS Memory Controller

Functional Description HPS Memory Controller .. emi_rm_ Subscribe The hard processor system (HPS) SDRAM controller subsystem provides efficient access to external SDRAM for the ARM Cortex -A microprocessor unit (MPU) subsystem, the level (L) interconnect,

More information

LogiCORE IP SPI-4.2 v12.2

LogiCORE IP SPI-4.2 v12.2 DS823 July 25, 2012 Introduction The Xilinx SPI-4.2 (PL4) core implements and is compliant with the OIF-SPI4-02.1 System Packet Phase 2 standard. This fully verified solution interconnects physical-layer

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline EECS150 - Digital Design Lecture 13 - Accelerators Oct. 10, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

LogiCORE IP AXI DMA v6.01.a

LogiCORE IP AXI DMA v6.01.a LogiCORE IP AXI DMA v6.01.a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Typical System Interconnect......................................................... 8 Operating

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Tutorial StellarIP Interface To AXI Interface

Tutorial StellarIP Interface To AXI Interface Tutorial StellarIP Interface To AXI Interface 4DSP LLC Email: support@4dsp.com This document is the property of 4DSP LLC and may not be copied nor communicated to a third party without the written permission

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

AXI and OCP protocol Interface for Sytem on Chip

AXI and OCP protocol Interface for Sytem on Chip AXI and OCP protocol Interface for Sytem on Chip Ms. Monica Damor 1, Mr Gardas Naresh Kumar 2, Mr. Santosh Jagtap 3 1 Research Scholar, GTU PG School,Gujarat,India 2 Course Co-Ordinator, CDAC ACTS, Maharashtra,

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

OCB-Based SoC Integration

OCB-Based SoC Integration The Present and The Future 黃俊達助理教授 Juinn-Dar Huang, Assistant Professor March 11, 2005 jdhuang@mail.nctu.edu.tw Department of Electronics Engineering National Chiao Tung University 1 Outlines Present Why

More information

LogiCORE IP Block Memory Generator v6.1

LogiCORE IP Block Memory Generator v6.1 LogiCORE IP Block Memory Generator v6.1 DS512 March 1, 2011 Introduction The Xilinx LogiCORE IP Block Memory Generator (BMG) core is an advanced memory constructor that generates area and performance-optimized

More information

What's "vspi"? What's included?

What's vspi? What's included? What's "vspi"? vspi is a Verilog implementation of an SPI slave. Think of it as a very fast serial port. It can reliably transfer data at 27.9 mbps on an Atlys FPGA devkit (a Spartan-6 with a 100 MHz system

More information

NetFPGA Hardware Architecture

NetFPGA Hardware Architecture NetFPGA Hardware Architecture Jeffrey Shafer Some slides adapted from Stanford NetFPGA tutorials NetFPGA http://netfpga.org 2 NetFPGA Components Virtex-II Pro 5 FPGA 53,136 logic cells 4,176 Kbit block

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

April 7, 2010 Data Sheet Version: v4.00

April 7, 2010 Data Sheet Version: v4.00 logimem SDR/DDR/DDR2 SDRAM Memory Controller April 7, 2010 Data Sheet Version: v4.00 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

LogiCORE IP AXI Video Direct Memory Access v5.00.a

LogiCORE IP AXI Video Direct Memory Access v5.00.a LogiCORE IP AXI Video Direct Memory Access v5.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

AXI4 Interconnect Paves the Way to Plug-and-Play IP

AXI4 Interconnect Paves the Way to Plug-and-Play IP White Paper: Virtex-6 and Spartan-6 FPGAs WP379 (v1.0) October 5, 2010 4 Interconnect Paves the Way to Plug-and-Play IP By: Navanee Sundaramoorthy, Navneet Rao, and Tom Hill In the past decade, the size

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA

FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA 1 FCUDA-SoC: Platform Integration for Field-Programmable SoC with the CUDAto-FPGA Compiler Tan Nguyen 1, Swathi Gurumani 1, Kyle Rupnow 1, Deming Chen 2 1 Advanced Digital Sciences Center, Singapore {tan.nguyen,

More information

ProtoFlex: FPGA Accelerated Full System MP Simulation

ProtoFlex: FPGA Accelerated Full System MP Simulation ProtoFlex: FPGA Accelerated Full System MP Simulation Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai Computer Architecture Lab at Our work in this area has been supported in part

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Stereo Vision IP Core

Stereo Vision IP Core Stereo Vision IP Core Data Sheet (v.) January, VISION TECHNOLOGIES Nerian Vision GmbH Gutenbergstr. a Stuttgart Germany Email: service@nerian.com www.nerian.com CONTENTS CONTENTS Contents Introduction

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructor: John Wawrzynek. Lecture 18 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructor: John Wawrzynek Lecture 18 Memory Blocks Multi-ported RAM Combining Memory blocks FIFOs FPGA memory blocks Memory block synthesis

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) DS799 December 14, 2010 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

Specializing Hardware for Image Processing

Specializing Hardware for Image Processing Lecture 6: Specializing Hardware for Image Processing Visual Computing Systems So far, the discussion in this class has focused on generating efficient code for multi-core processors such as CPUs and GPUs.

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Designing Embedded AXI Based Direct Memory Access System

Designing Embedded AXI Based Direct Memory Access System Designing Embedded AXI Based Direct Memory Access System Mazin Rejab Khalil 1, Rafal Taha Mahmood 2 1 Assistant Professor, Computer Engineering, Technical College, Mosul, Iraq 2 MA Student Research Stage,

More information

LogiCORE IP AXI DMA v6.02a

LogiCORE IP AXI DMA v6.02a LogiCORE IP AXI DMA v6.02a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 8 Feature Summary..................................................................

More information

Exploration of Cache Coherent CPU- FPGA Heterogeneous System

Exploration of Cache Coherent CPU- FPGA Heterogeneous System Exploration of Cache Coherent CPU- FPGA Heterogeneous System Wei Zhang Department of Electronic and Computer Engineering Hong Kong University of Science and Technology 1 Outline ointroduction to FPGA-based

More information

SYSTEM INTEGRATION AND PERFORMANCE. EVALUATION OF WiNC2R PLATFORM FOR a LIKE PROTOCOL

SYSTEM INTEGRATION AND PERFORMANCE. EVALUATION OF WiNC2R PLATFORM FOR a LIKE PROTOCOL SYSTEM INTEGRATION AND PERFORMANCE EVALUATION OF WiNC2R PLATFORM FOR 802.11a LIKE PROTOCOL BY MADHURA JOSHI A Thesis submitted to the Graduate School -- New Brunswick Rutgers, The State University of New

More information

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip Mukthi. S. L 1 Dr. A. R. Aswatha 2 1Department of Electrical & Electronics Engineering, Jain University,

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks LCD Controller IP Core interfaces a video image in frame buffer memory via the AMBA 3.0 / 4.0 AXI Protocol Interconnect to a 4K and

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

Design of a Network Camera with an FPGA

Design of a Network Camera with an FPGA Design of a Network Camera with an FPGA Tiago Filipe Abreu Moura Guedes INESC-ID, Instituto Superior Técnico guedes210@netcabo.pt Abstract This paper describes the development and the implementation of

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Yufei Ma, Naveen Suda, Yu Cao, Jae-sun Seo, Sarma Vrudhula School of Electrical, Computer and Energy Engineering School

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Reconfigurable Memory Controller with Programmable Pattern Support

Reconfigurable Memory Controller with Programmable Pattern Support Reconfigurable Memory Controller with Programmable Pattern Support Tassadaq Hussain, Miquel Pericàs, and Eduard Ayguadé Barcelona Supercomputing Center {thussain, miquel.pericas, eduard.ayguade} @bsc.es

More information

Near Memory Key/Value Lookup Acceleration MemSys 2017

Near Memory Key/Value Lookup Acceleration MemSys 2017 Near Key/Value Lookup Acceleration MemSys 2017 October 3, 2017 Scott Lloyd, Maya Gokhale Center for Applied Scientific Computing This work was performed under the auspices of the U.S. Department of Energy

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Building blocks for custom HyperTransport solutions

Building blocks for custom HyperTransport solutions Building blocks for custom HyperTransport solutions Holger Fröning 2 nd Symposium of the HyperTransport Center of Excellence Feb. 11-12 th 2009, Mannheim, Germany Motivation Back in 2005: Quite some experience

More information

Enabling Hardware/Software Co-design in High-level Synthesis. Jongsok Choi

Enabling Hardware/Software Co-design in High-level Synthesis. Jongsok Choi Enabling Hardware/Software Co-design in High-level Synthesis by Jongsok Choi A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

FPGA memory performance

FPGA memory performance FPGA memory performance Sensor to Image GmbH Lechtorstrasse 20 D 86956 Schongau Website: www.sensor-to-image.de Email: email@sensor-to-image.de Sensor to Image GmbH Company Founded 1989 and privately owned

More information

Programming in the Brave New World of Systems-on-a-chip

Programming in the Brave New World of Systems-on-a-chip Programming in the Brave New World of Systems-on-a-chip Arvind Computer Science & Artificial Intelligence Lab Massachusetts Institute of Technology The 25th International Workshop on Languages and Compilers

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

ReconOS: An RTOS Supporting Hardware and Software Threads

ReconOS: An RTOS Supporting Hardware and Software Threads ReconOS: An RTOS Supporting Hardware and Software Threads Enno Lübbers and Marco Platzner Computer Engineering Group University of Paderborn marco.platzner@computer.org Overview the ReconOS project programming

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

ProtoFlex Tutorial: Full-System MP Simulations Using FPGAs

ProtoFlex Tutorial: Full-System MP Simulations Using FPGAs rotoflex Tutorial: Full-System M Simulations Using FGAs Eric S. Chung, Michael apamichael, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai ROTOFLEX Computer Architecture Lab at Our work in this

More information

CoRAM++: Supporting Data-Structure-Specific Memory Interfaces for FPGA Computing

CoRAM++: Supporting Data-Structure-Specific Memory Interfaces for FPGA Computing Buffer CoRAM++: Supporting Data-Structure-Specific Memory Interfaces for FPGA Computing Gabriel Weisz Carnegie Mellon University Pittsburgh, PA, USA gweisz@cs.cmu.edu Control Thread (Sequences Operations)

More information

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset High Level Synthesis Re-usable model of AMBA 4 communication protocol for HLS based design flow developed using Synthesis subset NASCUG, San Francisco, USA (June, 2014) Presenter Dinesh Malhotra, CircuitSutra

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

Fast Scalable FPGA-Based Network-on-Chip Simulation Models

Fast Scalable FPGA-Based Network-on-Chip Simulation Models We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations and support. Computer Architecture Lab at Carnegie Mellon Fast Scalable FPGA-Based Network-on-Chip Simulation

More information

Accelerating string tokenization with FPGAs for IoT data handling equipment

Accelerating string tokenization with FPGAs for IoT data handling equipment Accelerating string tokenization with FPGAs for IoT data handling equipment Kazuhiro Yamato MIRACLE LINUX CORPORATION 2016/12/1 Abstract This paper reports on the results of a study to accelerate string

More information

SATA-IP Introduction. Agenda

SATA-IP Introduction. Agenda Introduction Ver1.3E Support Virtex-6/Spartan-6! Magician of the Storage! 2012/7/31 Design Gateway Page 1 Agenda SATA Overview Summary, Features and Trend Merit and Solution Introduction Summary Application

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit Princeton Parallel Research Group Redesigning the Data Center of the Future Chip Architecture Operating Systems and Runtimes

More information

An 80-core GRVI Phalanx Overlay on PYNQ-Z1:

An 80-core GRVI Phalanx Overlay on PYNQ-Z1: An 80-core GRVI Phalanx Overlay on PYNQ-Z1: Pynq as a High Productivity Platform For FPGA Design and Exploration Jan Gray jan@fpga.org http://fpga.org/grvi-phalanx FCCM 2017 05/03/2017 Pynq Workshop My

More information

Design of Hardware Bus Monitoring Unit (HBMU) for Generic Power Management Unit (GPMU) in Soc

Design of Hardware Bus Monitoring Unit (HBMU) for Generic Power Management Unit (GPMU) in Soc Design of Hardware Bus Monitoring Unit (HBMU) for Generic Management Unit (GPMU) in Soc Anand Mohan Assistant Professor, T John Institute Of Technology, Department Of Ece, Bangalore, Saranya S Kumar, Programmer

More information

DAMC-FMC25. Board Support Package Overview. AMC Dual High Pin Count FMC Carrier Board. MTCA.4 MicroTCA for Physics

DAMC-FMC25. Board Support Package Overview. AMC Dual High Pin Count FMC Carrier Board. MTCA.4 MicroTCA for Physics MTCA.4 MicroTCA for Physics DAMC-FMC25 Board Support Package Overview DAMC-FMC25 AMC Dual High Pin Count FMC Carrier Board Board Support Package Overview All Rights Reserved CAEN ELS d.o.o. Rev. 1.0 September

More information

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi

Hardware Software Co-design and SoC. Neeraj Goel IIT Delhi Hardware Software Co-design and SoC Neeraj Goel IIT Delhi Introduction What is hardware software co-design Some part of application in hardware and some part in software Mpeg2 decoder example Prediction

More information