27 March 2018 Mikael Arguedas and Morgan Quigley

Size: px
Start display at page:

Download "27 March 2018 Mikael Arguedas and Morgan Quigley"

Transcription

1 27 March 2018 Mikael Arguedas and Morgan Quigley

2

3

4

5

6

7

8 Separate devices: (prototypes 0-3) Unified camera: (prototypes 4-5) Unified system: (prototypes 6+) USB3 USB Host USB3 USB2 USB3 USB Host PCIe root PCIe Camera Camera IMU FPGA Imager IMU Imager FPGA Imager Imager IMU

9

10 Global-shutter 1.3 MPix imagers, 20cm baseline FPGA+DRAM+USB3 on daughterboard InertialSense µimu-2

11 DRAM 40 Imagers Imagers 30 / FPGA Imagers capable of ~2 Gbit pixel rate (each) DRAM buffer required since USB3 = 4Gbit - scheduling Artix-7: MHz DDR = ~13 Gbit - overhead 40 / USB3 PHY 8 / Any PC USB3-based design PCIe-based design Imagers Imagers 30 / PCIe x2 bandwidth is similar to (low-end) FPGA DRAM bus! FPGA PCIe PHY PCIe root on SBC 12 (NVIDIA TX2) / PCIe Gen 2 x2 = 8 Gbit full-duplex

12 Designed around TX2 FPGA is PCIe endpoint Self-contained computer vision: "just supply power"

13

14

15 Imager active area is not centered Use 3d-printed lens holders PLA is OK. Carbon-fiber is better Heat-set inserts for mounting + lens lock

16 Stereo systems need to be very stiff PCB is clamped to carbon-fiber tube

17

18 always-on MCU waits for TX2 boot During TX2 boot: MCU loads stage-1 FPGA image TX2-FPGA PCIe link established TX2 loads stage-2 FPGA image over PCIe sensors initialized over PCIe MMIO UART TX2 MCU SPI PCIe FPGA IMU Imager Imager

19 FPGA IMU sync PCIe decimate DRAM Image timing via IMU sync Image sensors TX2 PCIe trigger DMA write arbiter

20 FPGA PCIe DMA arbiter FIFO image sensors deserialize decode framing fix column ordering TX2 PCIe DRAM

21 Extreme close-up of typical indoor navigation feature (sprinkler pipe joint)

22 7x7 circle corner

23 7x7 circle (discretized) corner

24 7x7 circle (discretized) corner "unrolled" discretized circle

25 "unrolled" circle subtract center threshold 1) Find (in parallel) if there is a contiguous sequence of >= 9 pixels above threshold value. 2) For non-max suppression, find (in parallel) "how far" the sequence is above the threshold

26 Imagers produce 4 pixels per clock. Solution: search in parallel.

27 An example of FPGA reducing latency in (simple) pixel-wise operations 100's of operations per clock: 8-bit subtractions, comparisons, etc Deterministic timing, keeps up with pixel rate Many other algorithms are FPGA-friendly: pyramids, gradients,...

28 FPGA SPI IMU SPI sequencer PCIe IMU BRAM TX2 PCIe sync decimate control register BRAM DRAM CPU Image sensors DMA write arbiter trigger SPI clock sync data / 4 deserializer serializers pixel array ADC register file link train image image and c decoders pixel pixel FIFOs FIFOs pixel corner FIFOs detectors image stats GPU

29

30 Initialization allocate PCIe-visible RAM block configure FPGA core, imager SPI registers, IMU registers Every frame FPGA writes pixels via DMA to TX2 RAM, sends interrupt kernel re-syncs CPU caches kernel unblocks userland thread in ROS node ROS node copies image into ROS message, sends it downstream DMA Imager Imagers FPGA PCIe MSI TX2 RAM kernel module ROS driver image image image consumer consumer consumer nodes nodes nodes

31 Dynamic distributed message-passing framework Huge collection of open-source nodes Tools to parameterize, configure, and debug nodes sensor hardware actuator hardware

32

33 camera camera driver evil data sniffing prevented by encryption vision node publish evil image data evil node prevented by authorization downstream nodes

34

35

36

37 PCIe root SSD GbE USB TX2 (Tegra) SoC System Fabric Flash GPU USB (etc) "Traditional" system all peripherals on PCIe PCIe cannot reset / re-enumerate PCIe devices ready within 100ms GbE USB GPU USB PCIe root FPGA TX2-based system only the FPGA hangs off PCIe PCIe kernel driver can be reloaded FPGA configure/reconfigure at any time elaborate "fast" configuration not needed

38 all connectors on same side no configuration MCU FPGA upgrade (?) stack boards to reduce footprint

39

40 For more information: Morgan Quigley

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation A Low-Cost Embedded SDR Solution for Prototyping and Experimentation United States Naval Academy Dr. Christopher R. Anderson Ensign George Schaertl OpenSDR Mr. Philip Balister Presentation Overview Background

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

A176 Cyclone. GPGPU Fanless Small FF RediBuilt Supercomputer. IT and Instrumentation for industry. Aitech I/O

A176 Cyclone. GPGPU Fanless Small FF RediBuilt Supercomputer. IT and Instrumentation for industry. Aitech I/O The A176 Cyclone is the smallest and most powerful Rugged-GPGPU, ideally suited for distributed systems. Its 256 CUDA cores reach 1 TFLOPS, and it consumes less than 17W at full load (8-10W at typical

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 Rugged 6U VME Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

FPQ9 - MPC8360E implementation

FPQ9 - MPC8360E implementation Training MPC8360E implementation: This course covers PowerQUICC II Pro MPC8360E - PowerPC processors: NXP Power CPUs FPQ9 - MPC8360E implementation This course covers PowerQUICC II Pro MPC8360E Objectives

More information

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010

Moneta: A High-performance Storage Array Architecture for Nextgeneration, Micro 2010 Moneta: A High-performance Storage Array Architecture for Nextgeneration, Non-volatile Memories Micro 2010 NVM-based SSD NVMs are replacing spinning-disks Performance of disks has lagged NAND flash showed

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

PCI Express A High-bandwidth Interface for Multi-camera Embedded Systems

PCI Express A High-bandwidth Interface for Multi-camera Embedded Systems PCI Express A High-bandwidth Interface for Multi-camera Embedded Systems Max Larin May 2017 Copyright 2017 XIMEA 1 Overview XIMEA quick intro Camera manufacturers eco space Challenges and requirements

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Proven 8051 Microcontroller Technology, Brilliantly Updated

Proven 8051 Microcontroller Technology, Brilliantly Updated Proven 8051 Microcontroller Technology, Brilliantly Updated By: Tom David, Principal Design Engineer, Silicon Labs Introduction The proven 8051 core received a welcome second wind when its architecture

More information

XMOS Technology Whitepaper

XMOS Technology Whitepaper XMOS Technology Whitepaper Publication Date: 2010/04/28 Copyright 2010 XMOS Ltd. All Rights Reserved. XMOS Technology Whitepaper 2/7 1 Introduction Designers for electronic products are challenged by requests

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT911 Document Issue Number 1.1 Issue Data: 6th October

More information

Components for Integrating Device Controllers for Fast Orbit Feedback

Components for Integrating Device Controllers for Fast Orbit Feedback Components for Integrating Device Controllers for Fast Orbit Feedback Jukka Pietarinen EPICS Collaboration Meeting Knoxville October 2007 Topics PMC-SFP Module for Diamond Fast Orbit Feedback Future plans

More information

A Real Time Controller for E-ELT

A Real Time Controller for E-ELT A Real Time Controller for E-ELT Addressing the jitter/latency constraints Maxime Lainé, Denis Perret LESIA / Observatoire de Paris Project #671662 funded by European Commission under program H2020-EU.1.2.2

More information

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design Valeh Valiollahpour Amiri (vv2252) Christopher Campbell (cc3769) Yuanpei Zhang (yz2727) Sheng Qian ( sq2168) March 26, 2015 I) Hardware

More information

SATA-IP Host Demo Instruction on SP605 Rev Jan-10

SATA-IP Host Demo Instruction on SP605 Rev Jan-10 SATA-IP Host Demo Instruction on SP605 Rev1.0 21-Jan-10 This document describes SATA-IP Host evaluation procedure using SATA-IP Host reference design bit-file. 1 Environment For real board evaluation of

More information

Homework 9: Software Design Considerations

Homework 9: Software Design Considerations Homework 9: Software Design Considerations Team Code Name: Mind Readers Group No. 2 Team Member Completing This Homework: Richard Schuman E-mail Address of Team Member: _rschuman_ @ purdue.edu Evaluation:

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Prefetch Cache Module

Prefetch Cache Module PIC32 TM Prefetch Cache Module 2008 Microchip Technology Incorporated. All Rights Reserved. PIC32 Prefetch Cache Module Slide 1 Hello and welcome to the PIC32 Prefetch Cache Module webinar. I am Nilesh

More information

Software Design Challenges for heterogenic SOC's

Software Design Challenges for heterogenic SOC's Software Design Challenges for heterogenic SOC's René Janssen, Product manager Logic Technology 1 Agenda 1. Advantages of heterogenous devices 2. How to manage inter-processor communication 3. Example

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

Zilog Real-Time Kernel

Zilog Real-Time Kernel An Company Configurable Compilation RZK allows you to specify system parameters at compile time. For example, the number of objects, such as threads and semaphores required, are specez80acclaim! Family

More information

Industry Collaboration and Innovation

Industry Collaboration and Innovation Industry Collaboration and Innovation OpenCAPI Topics Industry Background Technology Overview Design Enablement OpenCAPI Consortium Industry Landscape Key changes occurring in our industry Historical microprocessor

More information

INTEGRATING COMPUTER VISION SENSOR INNOVATIONS INTO MOBILE DEVICES. Eli Savransky Principal Architect - CTO Office Mobile BU NVIDIA corp.

INTEGRATING COMPUTER VISION SENSOR INNOVATIONS INTO MOBILE DEVICES. Eli Savransky Principal Architect - CTO Office Mobile BU NVIDIA corp. INTEGRATING COMPUTER VISION SENSOR INNOVATIONS INTO MOBILE DEVICES Eli Savransky Principal Architect - CTO Office Mobile BU NVIDIA corp. Computer Vision in Mobile Tegra K1 It s time! AGENDA Use cases categories

More information

C802 Core i7 3U CompactPCI SBC

C802 Core i7 3U CompactPCI SBC C802 Core i7 3U CompactPCI SBC Rugged 3U CompactPCI Single-Slot SBC Core i7 @ 2.53/2.0/1.33 GHz Processor Two Cores/Four Threads (Intel Hyper-Threading Technology) Intel Virtualization Technology for Directed

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

A Real Time Controller for E-ELT

A Real Time Controller for E-ELT A Real Time Controller for E-ELT Addressing the jitter/latency constraints Maxime Lainé, Denis Perret LESIA / Observatoire de Paris Project #671662 funded by European Commission under program H2020-EU.1.2.2

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

C800 Core 2 Duo CompactPCI SBC

C800 Core 2 Duo CompactPCI SBC C8 Core 2 Duo CompactPCI SBC Rugged 3U CompactPCI Single-Slot SBC Intel T75/L75/U75 Core 2 Duo Processor @ 2.2/1.67/1.6 GHz On-chip 32 kb Data/32 kb Instruction L1 Cache On-chip 4 MB L2 Cache Intel GM965

More information

Unlocking the Potential of Your Microcontroller

Unlocking the Potential of Your Microcontroller Unlocking the Potential of Your Microcontroller Ethan Wu Storming Robots, Branchburg NJ, USA Abstract. Many useful hardware features of advanced microcontrollers are often not utilized to their fullest

More information

Demand Code Paging for NAND Flash in MMU-less Embedded Systems. Jose Baiocchi and Bruce Childers

Demand Code Paging for NAND Flash in MMU-less Embedded Systems. Jose Baiocchi and Bruce Childers Demand Code Paging for NAND Flash in MMU-less Embedded Systems Jose Baiocchi and Pittsburgh PA USA childers@cs.pitt.edu Memory Shadowing Range of embedded systems commonly have both main memory and storage

More information

Tile Processor (TILEPro64)

Tile Processor (TILEPro64) Tile Processor Case Study of Contemporary Multicore Fall 2010 Agarwal 6.173 1 Tile Processor (TILEPro64) Performance # of cores On-chip cache (MB) Cache coherency Operations (16/32-bit BOPS) On chip bandwidth

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Application Note: Optical data transmission with the Avocet Image Sensor

Application Note: Optical data transmission with the Avocet Image Sensor : Optical data transmission with the Avocet Image Sensor This application note presents a reference design for using optical data transmissions with the MLX75411 Avocet image sensor. The MLX75605 optical

More information

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Plan STM32F4Discovery module STM32F407 description STM32F4Discovery STM32F4Discovery Easily availble(farnell), cheap(~15 EUR) and powerful

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx series Technical data Memory: DDR RAM 128 MB FLASH 128 MB Interfaces: Ethernet (LAN) RS422, RS232 (not EC900, EC910, EC1000, EC1010) EtherNet / IP PROFINET

More information

Hi3516C Professsional HD IP Camera SoC. Brief Data Sheet. Issue 01. Date Baseline Date

Hi3516C Professsional HD IP Camera SoC. Brief Data Sheet. Issue 01. Date Baseline Date Professsional HD IP Camera SoC Brief Data Sheet Issue 01 Date 2012-12-15 Baseline Date 2012-12-12 . 2012. All rights reserved. No part of this document may be reproduced or transmitted in any form or by

More information

Designing a Multi-Processor based system with FPGAs

Designing a Multi-Processor based system with FPGAs Designing a Multi-Processor based system with FPGAs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer / Consultant Cereslaan

More information

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User's Guide Table of Contents SmartFusion2

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet HZX-51822-16N03 Bluetooth 4.0 Low Energy Module Datasheet SHEN ZHEN HUAZHIXIN TECHNOLOGY LTD 2017.7 NAME : Bluetooth 4.0 Low Energy Module MODEL NO. : HZX-51822-16N03 VERSION : V1.0 1.Revision History

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Designing with STM32F2x & STM32F4

Designing with STM32F2x & STM32F4 Designing with STM32F2x & STM32F4 Course Description Designing with STM32F2x & STM32F4 is a 3 days ST official course. The course provides all necessary theoretical and practical know-how for start developing

More information

Five Key Steps to High-Speed NAND Flash Performance and Reliability

Five Key Steps to High-Speed NAND Flash Performance and Reliability Five Key Steps to High-Speed Flash Performance and Reliability Presenter Bob Pierce Flash Memory Summit 2010 Santa Clara, CA 1 NVM Performance Trend ONFi 2 PCM Toggle ONFi 2 DDR SLC Toggle Performance

More information

SheevaPlug Development Kit Reference Design. Rev 1.2

SheevaPlug Development Kit Reference Design. Rev 1.2 SheevaPlug Development Kit Reference Design Rev 1.2 INTRODUCTION...4 SECTION 1 OVERVIEW...6 1.1 SHEEVAPLUG DESCRIPTION....6 Figure 1.1: SHEEVAPLUG Components and JTAG test card...6 Figure 1.2: SheevaPlug

More information

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive)

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVDLA NVIDIA DEEP LEARNING ACCELERATOR IP Core for deep learning part of NVIDIA s Xavier

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

AN 829: PCI Express* Avalon -MM DMA Reference Design

AN 829: PCI Express* Avalon -MM DMA Reference Design AN 829: PCI Express* Avalon -MM DMA Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1....3 1.1. Introduction...3 1.1.1.

More information

PCIe driver development for Exynos SoC

PCIe driver development for Exynos SoC PCIe driver development for Exynos SoC Korea Linux Forum 2013 Jingoo Han Samsung Electronics Introduction S/W engineer at Samsung Electronics since 2005 Linux kernel development for Samsung Exynos ARM

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

Machine Vision Camera Interfaces. Korean Vision Show April 2012

Machine Vision Camera Interfaces. Korean Vision Show April 2012 Machine Vision Camera Interfaces Korean Vision Show April 2012 Vision Interfaces Page 1 Machine Vision Hardware Interface Standards PCI, CPCI V2.2, PCIe V2.x USB2, USB3 Vision IEEE1394 (no development

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

)8-,768'HY.LW 2YHUYLHZ. )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein Dreieich-Buchschlag, Germany

)8-,768'HY.LW 2YHUYLHZ. )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein Dreieich-Buchschlag, Germany )8-,768'HY.LW 2YHUYLHZ )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein 6-10 63303 Dreieich-Buchschlag, Germany Revision: V1.0 Date: 05.08.1999 Introduction to FUJITSU Development Kit for 16LX CPU family DevKit16

More information

TQ2440 Development Platform Manual

TQ2440 Development Platform Manual TQ2440 Development Platform Manual 0 Directory Directory... 1 Chapter 1 Introduction... 7 11Appearance of TQ2440 development platform... 7 12Hardware resource of TQ2440... 7 13Software introduction of

More information

FPGA based microserver for high performance real-time computing in Adaptive Optics

FPGA based microserver for high performance real-time computing in Adaptive Optics FPGA based microserver for high performance real-time computing in Adaptive Optics C. Patauner a, R. Biasi a, M. Andrighettoni a, G. Angerer a, D. Pescoller a, F. Porta a, D. Gratadour b a Microgate Srl,

More information

XMEGA Series Of AVR Processor. Presented by: Manisha Biyani ( ) Shashank Bolia (

XMEGA Series Of AVR Processor. Presented by: Manisha Biyani ( ) Shashank Bolia ( XMEGA Series Of AVR Processor Presented by: Manisha Biyani (200601217) Shashank Bolia (200601200 Existing Microcontrollers Problems with 8/16 bit microcontrollers: Old and inefficient architecture. Most

More information

Developing a simple UVC device based on i.mx RT1050

Developing a simple UVC device based on i.mx RT1050 NXP Semiconductors Document Number: AN12103 Application Note Rev. 0, 12/2017 Developing a simple UVC device based on i.mx RT1050 1. Introduction USB Video Class (UVC) describes the capabilities and characteristics

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

ADC1x13S Demonstration Board for ADC1x13S

ADC1x13S Demonstration Board for ADC1x13S Quick Start ADC1x13S Demonstration Board for ADC1x13S Rev. 2 2 July 2012 Document information Info Keywords Abstract Block Diagram Content DEMO ADC1x13S, PCB2120-1, Demonstration board, ADC, Converter,

More information

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi Power Matters. TM RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi chowdhary.musunuri@microsemi.com RIC217 1 Agenda A brief introduction

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 1 Topics Xilinx RFSoC Overview Impact of Latency on Applications

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 10 Device Interface Drivers and MMIO October 29, 2015 Sam Siewert MMIO Interfacing to Off-Chip Devices Sam Siewert 2 Embedded I/O (HW View) Analog I/O DAC analog output:

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

User Guide. for TAHOE 8718

User Guide. for TAHOE 8718 User Guide for TAHOE 8718 TAHOE 8718 User Guide Rev: 01 09/19/2017 PAGE 1 OF 31 TABLE OF CONTENTS 1 INTRODUCTION......6 1.1 Product Description... 5 1.2 Standard Features... 5 1.3 Functional Diagram......8

More information

OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision. Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017

OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision. Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017 OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017 Agenda Why Zynq SoCs for Traditional Computer Vision Automated

More information

New STM32WB Series MCU with Built-in BLE 5 and IEEE

New STM32WB Series MCU with Built-in BLE 5 and IEEE New STM32WB Series MCU with Built-in BLE 5 and IEEE 802.15.4 Make the Choice of STM32WB Series The 7 keys points to make the difference 2 Open 2.4 GHz radio Multi-protocol Dual-core / Full control Ultra-low-power

More information

USB3DevIP Data Recorder by FAT32 Design Rev Mar-15

USB3DevIP Data Recorder by FAT32 Design Rev Mar-15 1 Introduction USB3DevIP Data Recorder by FAT32 Design Rev1.1 13-Mar-15 Figure 1 FAT32 Data Recorder Hardware on CycloneVE board The demo system implements USB3 Device IP to be USB3 Mass storage device

More information

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest Designing with the Xilinx 7 Series PCIe Embedded Block Follow @avnetxfest Tweet this event: #avtxfest www.facebook.com/xfest2012 Why Would This Presentation Matter to You? 2 If you are designing a PCIe

More information

C870 Core i7 3U VPX SBC

C870 Core i7 3U VPX SBC C870 Core i7 3U VPX SBC Rugged 3U VPX Single-Slot SBC Core i7 @ 2.53/2.0/1.33 GHz Processor Two Cores/Four Threads (Intel Hyper-Threading Technology) Intel Virtualization Technology for Directed I/O (Intel

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

October FACE Modules. Portfolio Overview. Maxim Birger

October FACE Modules. Portfolio Overview. Maxim Birger October 2013 FACE Modules Portfolio Overview Maxim Birger Agenda Concept Highlights FM-4USB USB2.0 FACE Module FM-USB3 USB3.0 FACE Module FM-SER Serial FACE Module FM-1LAN Single LAN FACE Module FM-4LAN

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

BLE MODULE SPECIFICATIONS

BLE MODULE SPECIFICATIONS WIRELESS-TAG BLE MODULE SPECIFICATIONS nrf51-01/02/dk Bluetooth Low Energy (BLE) module of nrf51-01/02 is the next generation BLE module released by SEMITRION electronics. The modules use nrf51822 from

More information