Reliability Measurement of FPGA Implementations on Software-Defined Radio Platforms

Size: px
Start display at page:

Download "Reliability Measurement of FPGA Implementations on Software-Defined Radio Platforms"

Transcription

1 Reliability Measurement of FPGA Implementations on Software-Defined Radio Platforms Presented by Dr. Armando Astarloa APERT June 2013

2 Index Introduction and Motivation 1 Introduction and Motivation 2 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures 3

3 1 Introduction and Motivation 2 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures 3

4 Parameters (λ): Device s failure rate. Failure In Time (FIT) rate: Number of failures that can be expected in 10 9 device-hours of operation. Figure: The Bathtub curve [1]. Figure: Evolution of the Bathtub curve.

5 Parameters Reliability (R(t)): The probability of an electronic system to perform its required functions under stated conditions for a specified period of time. R(t 1 ) is the probability of a system will not fail in time t 1. R(t) = e λt (1) Mean Time Between Failures (MTBF): Average time a system runs between failure. MTBF = 0 e λt dt = 1 λ (2)

6 Temporal errors in electronic devices Single Event Effects (SEEs): 1 Heavy ion strikes the silicon 2 It loses its energy via the production of free electron hole pairs 3 A dense ionized track in the local region is generated 4 A bit-flip can be induced (SEU, SET, SEFI, MBU, SEL -permanent-) Figure: An ion passing through a transistor

7 Fault tolerance techniques in electronic devices Redundancy: Physical redundancy: Plain design, Tripe Modular Redundancy with simple voter, Partitioned Tripe Modular Redundancy with multiple simple voters, XTMR. Temporal redundancy: Same processing (t) and further comparison. Figure: Tripe Modular Redundancy with simple voter.

8 Standard for Functional Safety and Certifications Electronic products certification for critical applications Analysis and development methods Safety integrity levels: SIL1-SIL4 (IEC 61508) Two modes of operation: High demand rate and Low demand rate

9 Safety integrity levels Table: IEC Safety integrity Level for high demand rate systems (dangerousfailures/hr) Safety integrity Level SIL4 SIL3 SIL2 SIL1 λ required between 10 8 and 10 9 between 10 7 and 10 8 between 10 6 and 10 7 between 10 5 and 10 6

10 The electronic design is living a revolution Current status: New silicon technologies allow the integration of whole digital systems in a single chip The design of Application Specific Integrated Circuits is more and more expensive, complex and risky

11 Answer: Reconfigurable logic (FPGAs) What is a FPGA?: It is similar to a un-formatted chip It can be load with a custom circuit designed using specific EDA tools Modern FPGAs offer similar features to ASICs

12 FPGAs trade-off Introduction and Motivation Challenges: Success keys: Affordable engineering Low risk: Reconfigurable Low product time-to-market Flexible electronic boards (they can be reused for different products) Susceptible to SEE (SEUs) Programmability (Safety standards) Example: Virtex-5 nominal 131 FIT/Mb configuration cells [2] XC5VLX50T 11,37 Mb of configuration cells > 1489 FIT nominal or an MTBF of 77 years

13 NASA SpaceCube (source [3]) Figure: SPACE cube 1.0 CPU. Figure: STS-125 launch. Figure: California wildfire scene

14 Temporal errors in FPGAs Figure: FPGA architecture. Figure: SEU effect in FPGAs.

15 COMB X LOGIC X X X A B COMB LOGIC OK OK OK MAJORITY VOTER X COMB LOGIC CLK Figure: Tripe Modular Redundancy with simple voter in a FPGA. [4]

16 MAJORITY VOTER MAJORITY VOTER MAJORITY VOTER MINORITY VOTER MINORITY VOTER MINORITY VOTER Introduction and Motivation XTMR architecture - XTMR triplicates: All inputs including clocks and throughput (combinational) logic. Feedback logic and inserting majority voters on feedback paths. All outputs, using minority voters to detect and disable incorrect output paths. COMB LUT LOGIC TR0 A COMB LUT LOGIC B TR1 CLK COMB LUT LOGIC TR2 Figure: XTMR architecture. X

17 XTMR design flow: ISE Project (.NGC) (.NGC) Translate (NGDBuild) Generar Netlis XTMR (.EDF) Translate (NGDBuild) Ma p Ma p BitGe n BitGe n.bin.bin P a s o 1 Proyecto Pre -XTMR P a s o 2 Proyecto TMR Tool P a s o 3 Proyecto Post -XTMR Figure: XTMR design flow.

18 Scrubbing: Memory Original Frame Data Erroneous Frame Data Comparator FPGA Modifier Corrected Frame Data Figure: Scrubbing concept.

19 Internal Scrubbing combined with Error Correcting Code (ECC): Configuration Memory FPGA Primitives ICAP DMA PicoBlaze BRAM Frame ECC ICAP PicoBlaze Processor Control Logic DMA BRAM Figure: SEU controller macro in Virtex-5 [5].

20 Block RAMs Scrubbing (Internal RAM memory): CEN_BSCNT_TMR[0 1 2] Data_Out[0 1 2] CEN_BSCNTR_TMR[0 1 2] EN[0 1 2] Address_CNTR VD Data_Voter0 ERROR Voted_Data0 Din_B Data_OutB0 AB BRAM_Block0 Web ADDRA_[0 1 2] + WEA[0 1 2] CNT_TR[0 1 2] Address_B[0 1 2] Error_indication[0 1 2] Voted_Data1 VD Data_Voter1 ERROR Din_B Data_OutB1 AB BRAM_Block1 Web COMPARATOR Voted_Data2 ENB[0 1 2] WEB[0 1 2] BRAM ENB for Address Compare VD Data_Voter2 ERROR Din_B Data_OutB2 AB BRAM_Block2 Web Figure: BlockRAM scrubber macro block diagram [6].

21 Partial Reconfiguration for Fault Tolerance Partial reconfiguration is supported by Xilinx Technological challenges overcome (Virtex-4): Internal reconfiguration ports (ICAP) No frame restriction (16-CLB range) No SRL16 and LUT RAMs limitations RPD Design flow enhanced

22 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures 1 Introduction and Motivation 2 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures 3

23 SEU Test Set-up Test Flow Introduction and Motivation SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Evaluation Board (EB) FPGA RS232 TMR_CLK 3 3 TMR_UART COM1 COM2 USB FPGA RS232 Board Under Test (BUT) JTAG

24 Data Key Start Out Rdy Data Key Start Out Rdy Data Key Start Out Rdy Data Key Start Out Rdy Introduction and Motivation Analysis Results for Cipher Blocks Test Flow SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analyzed SEU Resilience of the following VHDL Cipher Blocks [7]: (1) (2) Inject SEU or MBU into original bitstream program device with altered bitstream DES [8] AES [9] Twofish [10] (3) send input read output of the (4) cipher chain verify correct operation and (5) log current testrun next input UART_in RS232 receive RX Register Blockcipher core 0 Blockcipher core 1 Blockcipher core 2 Blockcipher core n Mux RS232 transmit UART_out

25 Analysis Results for Cipher Blocks Analysis Results SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Injection Estimation Mean Time Between Failure in years DES AES TwoFish

26 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analysis Results for on-chip bus Architectures Redundant Architectures Compared Inject SEU or MBU into random bitstream position next testrun program device using modified configuration stream run application on FPGA and read device output check output against expected and log the test run (a) Test Flow (b) Wishbone shared interconnection [11]

27 Instance 2 Instance 2 Instance 2 Instance 2 Instance 2 Instance 2 Introduction and Motivation SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analysis Results for on-chip bus Architectures Redundant Architectures Compared UART Voter to PC UART Voter to PC CLK0 CLK1 CLK2 Master 0 Master 1 Master n TMR TMR TMR master TMR 0 master TMR 1 master TMR n master 0 master 1 master n Master 0 Master 1 Master n M0 Voter M1 Voter Mn Voter CLK0 CLK1 CLK2 CLK Shared WishBone Inter- connection Shared WishBone Inter- connection Shared WishBone Inter-... connection Arbiter Arbiter Arbiter Shared WishBone Inter-... connection Arbiter Arbiter Arbiter TMR TMR TMR slave TMR 0 slave TMR 1 slave TMR m slave 0 slave 1 slave m Slave 0 Slave 1 Slave m S0 Voter S1 Voter Sm Voter RST RST0 RST1 RST2 RST2 RST1 RST0 Slave 0 Slave 1 Slave m (c) Coarse-grained TMR (d) Medium-grained TMR

28 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analysis Results for on-chip bus Architectures Analysis Results Table: Test Results for External and Internal voting Error type Testruns Coarse-grained Errors Percent Medium-grained Errors Percent SEU bit-MBU bit-MBU bit-MBU bit-MBU bit-MBU

29 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analysis Results for on-chip bus Architectures Analysis Results Table: Categories of test results Cat. A B C D E Description All TMR layer counters are zero One TMR layer counter differs from zero Two or three of the TMR layer counters differ from zero Two or three of the TMR layer counters differ from zero Two or three of the TMR layer counters are zero System behavior Correct Erroneous

30 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures Analysis Results for on-chip bus Architectures Analysis Results 100% 9,7% 90% 15,9% 18,5% 24,7% 2,7% 4,4% 80% 29,4% 34,7% 70% 60% Cat.D 50% 40% 90,3% 83,7% 80,9% Cat.C Cat.B Cat.A 73,5% 67,2% 30% 59,8% 20% 10% 0% SEU 2bit-MBU* 2bit-MBU 3bit-MBU 4bit-MBU 5bit-MBU

31 1 Introduction and Motivation 2 SEU Test Set-up Analysis Results for Cipher Blocks Analysis Results for on-chip bus Architectures 3

32 Partial Reconfiguration and TMR on FPGA based Rugged Systems SafeVPX has 3U Eurocard size and it can run in stand-alone mode or it can be plugged in a 3U VPX (VITA 46, air-cooled) back-plane. In this last case, SafeVPX supports 3 fat-pipes. Each fat-pipe is composed of four bidirectional Multi-Gigabit-Transceiver (MGT) links. Each fat-pipe channel allows the implementation of PCIe x4, Aurora or other protocol among high-speed communication standards.

33 Key features Features Virtex-5 FPGA (5VFX100-TFF1136) industrial range Hard PowerPC processor FPGA embedded CRC protected DDR2 memory (5 Gb) 2 isolated CAN communication channels 1 isolated serial communications link 3 isolated sigma-delta ADCs 1 SFP connector (Gigabit Ethernet or Fibre Optics) Ready for stand-alone or VPX racked operation 3U Eurocard factor form (3U VPX VITA 46) FMC connector (only available for stand-alone (operation mode) Multiple options for full and partial bitstreams storage Extended temperature range FPGA ready for Xilinx X-TMR implementation: Triplicated clock inputs Triplicated CAN communication lines Triplicated serial communication lines Triplicated General Purpose I/Os

34 Introduction and Motivation Combination with SDR boards RuggedSDR Boards(VPX or stand-alone) Last generation Software-DefinedRadio boards for air or conduction cooled systems

35 Air-cool or Conduction-Cool SDRlab Chassis 3U VPX Laboratory Equipment with Power Supply and easily removable cover LightVPX Chassis 3U Conduction-cooled chassis and clamshells

36 Reliability in electronics systems is a challenge with nowadays levels of integration and complexity Modern FPGAs and SoPCs are the key circuits in state-of-the-art boards Error mitigation and Fault Tolerance traditional techniques are applicable with FPGAs Experimental analysis techniques combined with the use of standards defined for rugged systems can ensure high levels of reliability on SDR Platforms

37 Research Projects: This work has been partially supported by the Ministerio de Ciencia e Innovación of Spain within the project TEC C This work has been carried out inside de Research and Education Unit UFI11/16 of the UPV/EHU and supported by the Department of Education, Universities and Research of the Basque Government within the fund for research groups of the Basque university system IT

38 Thank you for your attention!

39 C. Constantinescu, Trends and challenges in VLSI circuit reliability, IEEE Micro, vol. 23, no. 4, pp , Xilinx Corp., Device reliability report, fourth quarter 2010, Xilinx Documentation, Feb D. Petrick, T. Flatley, A. Geist, D. Espinosa, G. Crum, M. Lin, J. Hosler, M. Buenfil, and K. Blank, SpaceCube: Current Missions and Ongoing Platform Advancements, in Military and Aerospace Programmable Logic Devices (MAPLD), A. Morillo, A. Astarloa, A. Zuloaga, U. Bidarte, and J. Lázaro, Técnicas de diseño seguro con FPGAs, in XVII Seminario Anual de Automática, Electrónica Industrial e Instrumentación (SAAEI10), vol. ISBN , K. Chapman and L. Jones, SEU strategies for Virtex-5 devices, XAPP864, 2009, Xilinx Inc. G. Miller, C. Carmichael, and G. Swift, Single-Event Upset Migration for Xilinx FPGA Block Memories, Xilinx Application Notes, notes/xapp962.pdf, Mar U. Kretzschmar, A. Astarloa, and J. Lázaro, Seu resilience of des, aes and twofish in sram-based fpga, in Reconfigurable Computing: Architectures, Tools and Applications. Springer, 2013, pp Tetraedre Sarl, Auvernier, TCDG - DES cryptographic module, sep H.Satyanarayana, AES128 - Project: aes crypto core, OPENCORES dec Opencores.org, Twofish - Project: Twofish Core, OPENCORES feb

40 U. Kretzschmar, A. Astarloa, J. Lazaro, M. Garay, and J. Del Ser, Robustness of different tmr granularities in shared wishbone architectures on sram fpga, in Reconfigurable Computing and FPGAs (ReConFig), 2012 International Conference on, 2012, pp. 1 6.

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Jano Gebelein Infrastructure and Computer Systems in Data Processing (IRI) Frankfurt University Germany January 31st, 2012 Mannheim, Germany 1 Outline

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing

Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing Quinn Martin, Alan D. George NSF Center for High-Performance Reconfigurable Computing (CHREC) ECE Department,

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring Outline Trusted Design in FPGAs Mohammad Tehranipoor ECE6095: Hardware Security & Trust University of Connecticut ECE Department Intro to FPGA Architecture FPGA Overview Manufacturing Flow FPGA Security

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories

On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories IJCA, Vol. 17, No. 2, June 2010 1 On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories Bradley F. Dutton* and Charles E. Stroud* Auburn University,

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey T.Srinivas Reddy 1, J.Santosh 2, J.Prabhakar 3 Assistant Professor, Department of ECE, MREC, Hyderabad,

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Reducing Rad-Hard Memories for FPGA Configuration Storage on Space-bound Payloads

Reducing Rad-Hard Memories for FPGA Configuration Storage on Space-bound Payloads Reducing Rad-Hard Memories for FPGA Configuration Storage on Space-bound Payloads Abstract FPGA use in space-based applications is becoming more common. Radiation-hardened (rad-hard) memories are typically

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs Lee W. Lerner and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, AL, USA Abstract We present

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited.

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited. LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Intended for: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the Los Alamos

More information

Time and Space Redundancy Fault Tolerance Trade-offs for FPGA Based Single and Multicore Designs

Time and Space Redundancy Fault Tolerance Trade-offs for FPGA Based Single and Multicore Designs Trans. JSASS Aerospace Tech. Japan Vol. 2, No. ists29, pp. Pj_5-Pj_24, 204 Original Paper Time and Space Redundancy Fault Tolerance Trade-offs for FPGA Based Single and Multicore Designs By Mohamed Mahmoud

More information

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece Email: {ksiop, dsoudris}@microlab.ntua.gr

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

RADIATION TOLERANT MANY-CORE COMPUTING SYSTEM FOR AEROSPACE APPLICATIONS. Clinton Francis Gauer

RADIATION TOLERANT MANY-CORE COMPUTING SYSTEM FOR AEROSPACE APPLICATIONS. Clinton Francis Gauer RADIATION TOLERANT MANY-CORE COMPUTING SYSTEM FOR AEROSPACE APPLICATIONS by Clinton Francis Gauer A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical

More information

Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications

Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications SSC11-XI-5 Reconfigurable, Radiation Tolerant S-Band Transponder for Small Satellite Applications Christopher D. Sauer, Jennifer L. Alvarez, John R. Dickinson, Michael E. Epperly, Meredith B. Lecocke Southwest

More information

VPX645. NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX. Key Features. Benefits. 3U VPX NVMe Host Bus Adapter with Full support for RAID

VPX645. NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX. Key Features. Benefits. 3U VPX NVMe Host Bus Adapter with Full support for RAID VPX645 NVMe HBA with RAID (0, 1, 5, 6, 10, 50 and 60), 3U VPX Key Features 3U VPX NVMe Host Bus Adapter with Full support for RAID Benefits Dual Core ARM A15 RAID On Chip (ROC) On board 8 GB of DDR4 Memory

More information

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules.

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules. E M B E D D E D C O M P U T I N G & I / O S O L U T I O N S XMC Products XMC FPGAs FPGA Extension I/O Modules XMC 10gB Ethernet XMC Carrier Cards XMC Software Support High-Performance XMC FPGAs, XMC 10gB

More information

Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation

Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation Diagnostic Services in Network-on-Chips (DSNOC 09) Roland Weigand David Merodio Codinachs European Space Agency (1)

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips M. Sonza Reorda, M. Violante Politecnico di Torino Torino, Italy Abstract The availability of multimillion

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications System Essentials: Rugged Software Radio Industry Standard Open Architectures

More information

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity- based Measurement Application on Xilinx FPGAs Abstract The application of Field Programmable

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

FPGAs operating in a radiation environment: lessons learned from FPGAs in space

FPGAs operating in a radiation environment: lessons learned from FPGAs in space Journal of Instrumentation OPEN ACCESS FPGAs operating in a radiation environment: lessons learned from FPGAs in space To cite this article: M J Wirthlin View the article online for updates and enhancements.

More information

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS Fraunhofer Institute for Open Communication Systems Kaiserin-Augusta-Allee 31 10589 Berlin, Germany www.fokus.fraunhofer.de 1 Building Reliable High-Performance Hardware Friedrich Schön System Quality

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

A Network-on-Chip for Radiation Tolerant, Multi-core FPGA Systems

A Network-on-Chip for Radiation Tolerant, Multi-core FPGA Systems A Network-on-Chip for Radiation Tolerant, Multi-core FPGA Systems Justin A. Hogan, Raymond J. Weber and Brock J. LaMeres Electrical and Computer Engineering Department Montana State University Bozeman,

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

Fault Tolerant ICAP Controller for High-Reliable Internal Scrubbing

Fault Tolerant ICAP Controller for High-Reliable Internal Scrubbing Fault Tolerant ICAP Controller for High-Reliable Internal Scrubbing Jonathan Heinerl, Nathan Collins2, and Michael Wirthlin3 Department of Electrical and Computer Engineering Brigham Young University Provo,

More information

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero

MultiChipSat: an Innovative Spacecraft Bus Architecture. Alvar Saenz-Otero MultiChipSat: an Innovative Spacecraft Bus Architecture Alvar Saenz-Otero 29-11-6 Motivation Objectives Architecture Overview Other architectures Hardware architecture Software architecture Challenges

More information

SATA Storage Duplicator Instruction on KC705 Rev Sep-13

SATA Storage Duplicator Instruction on KC705 Rev Sep-13 SATA Storage Duplicator Instruction on KC705 Rev1.0 24-Sep-13 This document describes the step to run SATA Duplicator Demo for data duplication from one SATA disk to many SATA disk by using Design Gateway

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

LogiCORE IP Soft Error Mitigation Controller v3.2

LogiCORE IP Soft Error Mitigation Controller v3.2 LogiCORE IP Soft Error Mitigation Controller v. Product Guide PG06 April 4, 0 Table of Contents Chapter : Overview Memory Types.................................................................... 6 Mitigation

More information

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Data Sheet CHAMP-FX2 FPGA Accelerator Signal Processing Platform Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Two user-programmable Xilinx Virtex -5 FPGA nodes (LX110T or LX220T)

More information

FPGAs in radiation-harsh environments

FPGAs in radiation-harsh environments FPGAs in radiation-harsh environments 1 Application examples AFDX Used on new commercial aircrafts from Boeing and Airbus Main communication interface Safety level up to DAL-A Mission computers Used on

More information

Implementation and Analysis of an Error Detection and Correction System on FPGA

Implementation and Analysis of an Error Detection and Correction System on FPGA Implementation and Analysis of an Error Detection and Correction System on FPGA Constantin Anton, Laurenţiu Mihai Ionescu, Ion Tutănescu, Alin Mazăre, Gheorghe Şerban University of Piteşti, Romania Abstract

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

COPious-PXIe. Single Board Computer with HPC FMC IO Site DESCRIPTION APPLICATIONS SOFTWARE V0.2 01/17/17

COPious-PXIe. Single Board Computer with HPC FMC IO Site DESCRIPTION APPLICATIONS SOFTWARE V0.2 01/17/17 V0.2 01/17/17 Single Board Computer with HPC FMC IO Site FEATURES Combines an Zynq Z7045 SoC with FMC IO module in a compact, stand alone design Powerful, dual, floating-point ARM A9 CPU performance 8HP

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

QuiXilica V5 Architecture

QuiXilica V5 Architecture QuiXilica V5 Architecture: The High Performance Sensor I/O Processing Solution for the Latest Generation and Beyond Andrew Reddig President, CTO TEK Microsystems, Inc. Military sensor data processing applications

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team COSMOS Architecture and Key Technologies June 1 st, 2018 COSMOS Team COSMOS: System Architecture (2) System design based on three levels of SDR radio node (S,M,L) with M,L connected via fiber to optical

More information

XPERTS CORNER. Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior

XPERTS CORNER. Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior Changing Utilization Rates in Real Time to Investigate FPGA Power Behavior 60 Xcell Journal Fourth Quarter 2014 Power management in FPGA designs is always a critical issue. Here s a new way to measure

More information

Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets

Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets Brigham Young University BYU ScholarsArchive All Faculty Publications 2002-01-01 Reliability of Programmable Input/Output Pins in the Presence of Configuration Upsets Paul S. Graham Nathaniel Rollins See

More information

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs F. Lima Kastensmidt, L. Sterpone, L. Carro, M. Sonza Reorda To cite this version: F. Lima Kastensmidt, L. Sterpone, L. Carro,

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

Product Overview. Programmable Network Cards Network Appliances FPGA IP Cores

Product Overview. Programmable Network Cards Network Appliances FPGA IP Cores 2018 Product Overview Programmable Network Cards Network Appliances FPGA IP Cores PCI Express Cards PMC/XMC Cards The V1151/V1152 The V5051/V5052 High Density XMC Network Solutions Powerful PCIe Network

More information

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

VPX754. Intel Xeon SoC, 3U VPX, PCIe Gen3. Key Features. Benefits VPX754

VPX754. Intel Xeon SoC, 3U VPX, PCIe Gen3. Key Features. Benefits VPX754 VPX754 Intel Xeon SoC, 3U VPX, PCIe Gen3 Key Features 3U VPX module Intel 5th Generation Xeon D-1577, D- 1548 or D-1520 (Broadwell) System-on-Chip (SoC) PCIe Gen3 dual x4 or single x8 Front-panel video

More information

Components of a MicroTCA System

Components of a MicroTCA System Micro TCA Overview0 Platform, chassis, backplane, and shelf manager specification, being developed through PICMG Allows AMC modules to plug directly into a backplane Fills the performance/cost gap between

More information

New Logic Module for secured FPGA based system

New Logic Module for secured FPGA based system International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 5, Number 4 (2012), pp. 533-543 International Research Publication House http://www.irphouse.com New Logic Module

More information

VHX - Xilinx - FPGA Programming in VHDL

VHX - Xilinx - FPGA Programming in VHDL Training Xilinx - FPGA Programming in VHDL: This course explains how to design with VHDL on Xilinx FPGAs using ISE Design Suite - Programming: Logique Programmable VHX - Xilinx - FPGA Programming in VHDL

More information

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications Error Interrupt Status & I/O Memory Control Clock Control Interface/switching logic Configuration Control Interface/switching (GLUE) logic EDAC TMR PRLOS PRLOS Command and Status Registers Bus Transceivers

More information

Configuration Scrubbing Architectures for High- Reliability FPGA Systems

Configuration Scrubbing Architectures for High- Reliability FPGA Systems Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2015-12-01 Configuration Scrubbing Architectures for High- Reliability FPGA Systems Aaron Gerald Stoddard Brigham Young University

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Making Visible the Thermal Behaviour of Embedded Microprocessors on FPGAs. A Progress Report

Making Visible the Thermal Behaviour of Embedded Microprocessors on FPGAs. A Progress Report Making Visible the Thermal Behaviour of Embedded Microprocessors on FPGAs. A Progress Report Sergio Lopez-Buedo and Eduardo Boemo Computer Engineering Department School of Engineering Universidad Autonoma

More information

3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P

3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P 3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P High computing and graphics performance with forward compatibility for a wide range of industrial applications. 1 Content Processor roadmap Technical data

More information

RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION

RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION RUN-TIME PARTIAL RECONFIGURATION SPEED INVESTIGATION AND ARCHITECTURAL DESIGN SPACE EXPLORATION Ming Liu, Wolfgang Kuehn, Zhonghai Lu, Axel Jantsch II. Physics Institute Dept. of Electronic, Computer and

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration 123 Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration NAVEEN K H 1, Dr. JAMUNA S 2, BASAVARAJ H 3 1 (PG Scholar, Dept. of Electronics and Communication, Dayananda

More information

Design Space Exploration for Memory Subsystems of VLIW Architectures

Design Space Exploration for Memory Subsystems of VLIW Architectures E University of Paderborn Dr.-Ing. Mario Porrmann Design Space Exploration for Memory Subsystems of VLIW Architectures Thorsten Jungeblut 1, Gregor Sievers, Mario Porrmann 1, Ulrich Rückert 2 1 System

More information

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System. FT-UNSHADES Microelectronic Presentation Day February, 4th, 2004 J. Tombs & M.A. Aguirre jon@gte.esi.us.es, aguirre@gte.esi.us.es AICIA-GTE of The University of Sevilla (SPAIN) FT-UNSHADES credits UNiversity

More information

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Calypso-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features Two 12-bit ADCs at 3.6 GSPS Also supports 6 channels

More information

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary Abstract 1553 bus is a military avionic bus that describes the mechanical, electrical

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7.

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. 1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. Optical Discs 1 Introduction Electrical Considerations Data Transfer

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Abstract This paper presents a method of hardening the 8051 micro-controller, able

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information