FPGAs in radiation-harsh environments

Size: px
Start display at page:

Download "FPGAs in radiation-harsh environments"

Transcription

1 FPGAs in radiation-harsh environments 1

2 Application examples AFDX Used on new commercial aircrafts from Boeing and Airbus Main communication interface Safety level up to DAL-A Mission computers Used on all aircrafts e.g. freight control system for Airbus A400M Safety level up to DAL-A

3 The old Style: ARINC-429 ARINC-429 commonly found in aircrafts of the 80s Mono directional bus 1 transmitter, n 20 receivers Dedicated wiring for each connection 100s km cabling per aircraft Transmission rates: 100kbit/s and 14.5kbit/s (low critical) 3

4 Nowadays: AFDX Technology Based on IEEE802.3 Ethernet 100Mbit/s Ethernet Full duplex Switched Full static configuration, no ARP, no DNS, Provides Reliable Data Transport Known latency High availability through redundancy Network A AFDX Switch LRU Transmitter AFDX Endsystem AFDX Endsystem LRU Receiver AFDX Switch Network B Redundant Network Architecture

5 AFDX Virtual Links replace ARINC-429 Avionics communications are based on multicast One transmitter One or several receivers App B App A Partition 1 1 Partition E/S E/S App C App D, E Partition 1 (RAM, CPU) Partition 2 (RAM, CPU) 1 2 E/S 5 Virtual links provide similar properties as ARINC-429

6 Airbus A380: AFDX Network A380 AFDX network 100Mbit/s Ethernet Redundant networks (A&B) AFDX switches (2 x 9) #of ports on each switch (20 24) Up to 80 AFDX endsystems Engines Fuel&LG SFCC1 COM MON EEC1 EEC2 IOM A B B A FW1 FCDC1 AESU1 LG,TP&BS COM MON IRDO ADIRU1 IOM SPDB FCSC1 FCGC1 COM MON COM MON SCI Fuel COM MON VSC FM1 CPIOM-A-1&2 PWCU S_1_1 Cockpit ACR1 S_2_1 S_3_1 S_4_1 L1 ATC1 ELM CBM SB24 ADIRU3 L2 DSMC1&2 Flight Control FCGC3 FCSC3 COM MON COM MON HSM AIC? ECB CIDS IPCU L3 S_1_3 C1 C2 CDAM Energy ext lights ctrl Cabin CIDS FCGC2 FCSC2 COM MON COM MON FM3 R2 ACR2 opt ELM CBM HSM SB24 AIC? PESC DSMC3 S_1_2 FM1 ATC2 SCI Fuel COM MON IPCU CPIOM-B-1&2 CPIOM-A-3&4 CPIOM-B-3&4 R3 R1 S_2_2 S_3_2 S_4_2 ADIRU1 B A A B FW2 FCDC2 AESU2 IOM SFCC2 COM MON LG,TP&BS COM MON SPDB IOM EEC3 EEC4 IRDO 6

7 AFDX Ethernet for Mission-Critical Applications AFDX interface Certifiable FPGA implementation Available on PMC P520 Full-featured AFDX protocol engine Interoperable with Airbus and Boeing

8 Airbus A400M Freight System

9 Mission Computer with FPGA Architecture CPU1 CPU2 CPU3 Triple redundancy on board FPGA VOTER DDR_ CTRL RAM_1 RAM_2 3x redundant PowerPC 750 CPU with up to 800 MHz (Lockstep) Redundant memory RAM_3 Onboard data transfers paths realized with FPGAs FRAM AFDX_PMC PCI_AFDX MISC MEM_ CTRL PCI_ MSTR FPGA MEM_ CTRL MEM_ CTRL FLASH Bank 1 FLASH Bank 2 Voting done inside FPGA Compliant with DO-254 up to DAL-A GFX_PMC PCI_GFX PCI_ MSTR

10 Challenge: Single Event Upsets/Multi Bit Upsets Aircrafts are exposed to radiation environment Neutrons (Secondary Cosmic Ray Particles) may cause SEUs and MBUs in electronics equipment Particle flux varies with altitude - max. flux at ft. 10

11 SEU/MBU Effects Components susceptible to SEU/MBU bit flips SRAMs SDRAMs Microprocessors Register Caches Internal buffers/fifos FPGAs Cell configuration Logic cell state... 11

12 FPGA Issues FPGA configuration must be SEU hardened FPGAs with RAM based configuration need mitigation strategy FPGAs with FLASH based configuration are SEU/MBU immune But Registers and RAM within FPGAs still not SEU immune! Other mitigation techniques required 12

13 SEU/MBU RAM Sensitivity Failure probability 10-7 / flight-hour (DAL B) Only one safety relevant failure in 10 Mio. flight hours! Error probability increases with number of bits Examples 512MByte SDRAM at ft. (no ECC) Fails after 10 hours! 32kByte cache Fails after 3.5 month Safe airplanes would not be possible 13

14 Architectural Solutions Register and logic protection strategies All FPGA registers subject to SEUs Critical sections use 2oo3 voting logic Majority voter overrules faulty register value D Q c Triple modular redundancy (TMR) is expensive Requires more than 3 times regular logic Slows down timing up to 20% D D Q b Q a b c Voter a TMR significantly lowers the probability of SEU induced failures in the FPGA logic Safe airplanes are possible 14

15 Verification of TMR Technology Architectural mitigation strategy can be verified by proton beam experiments DUT is exposed to particle beam with density equivalent to high altitude radiation DUT is running in test to demonstrate operability 15 1/28/2013 Source:

16 Thank you for your attention! Embedded Solutions Rugged Computer Boards and Systems for Harsh, Mobile and Mission-Critical Environments As a member of the UN Global Compact Initiative, MEN is committed to follow the principles of human rights, labour, environment and anticorruption as defined by this organization. 16

Distributed Architectures for Embedded Systems: Challenges for Real-Time Control

Distributed Architectures for Embedded Systems: Challenges for Real-Time Control Distributed Architecturesfor Embedded Systems:Challenges for Real-Time Control p. 1/14 Distributed Architectures for Embedded Systems: Challenges for Real-Time Control HSCC 2009 Albert Benveniste (INRIA-IRISA,

More information

Reaching for the sky with certified and safe solutions for the aerospace market

Reaching for the sky with certified and safe solutions for the aerospace market www.tttech.com/aerospace Reaching for the sky with certified and safe solutions for the aerospace market More about our certified and safe products inside Advancing safe technologies, improving human lives

More information

3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P

3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P 3U CompactPCI Intel SBCs F14, F15, F17, F18, F19P High computing and graphics performance with forward compatibility for a wide range of industrial applications. 1 Content Processor roadmap Technical data

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM Alberto Perez, Technical Manager, Test & Integration John Hildin, Director of Network s John Roach, Vice President

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Developing and Testing Networked Avionics Systems and Devices By Troy Troshynski, Avionics Interface Technologies

Developing and Testing Networked Avionics Systems and Devices By Troy Troshynski, Avionics Interface Technologies Developing and Testing Networked Avionics Systems and Devices By Troy Troshynski, Avionics Interface Technologies MIL-STD-1553 The MIL-STD-1553 protocol standard was first published in 1973 by the U.S.

More information

Distributed IMA with TTEthernet

Distributed IMA with TTEthernet Distributed IMA with thernet ARINC 653 Integration of thernet Georg Gaderer, Product Manager Georg.Gaderer@tttech.com October 30, 2012 Copyright TTTech Computertechnik AG. All rights reserved. Introduction

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS

Building Reliable High-Performance Hardware. Fraunhofer FOKUS. Fraunhofer FOKUS Fraunhofer Institute for Open Communication Systems Kaiserin-Augusta-Allee 31 10589 Berlin, Germany www.fokus.fraunhofer.de 1 Building Reliable High-Performance Hardware Friedrich Schön System Quality

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications Error Interrupt Status & I/O Memory Control Clock Control Interface/switching logic Configuration Control Interface/switching (GLUE) logic EDAC TMR PRLOS PRLOS Command and Status Registers Bus Transceivers

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University Advanced Computer Architecture II (Parallel Computer Architecture) Availability Copyright 2010 Daniel J. Sorin Duke University Definition and Motivation Outline General Principles of Available System Design

More information

Reliable Architectures

Reliable Architectures 6.823, L24-1 Reliable Architectures Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 6.823, L24-2 Strike Changes State of a Single Bit 10 6.823, L24-3 Impact

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

Study on FPGA SEU Mitigation for Readout Electronics of DAMPE BGO Calorimeter

Study on FPGA SEU Mitigation for Readout Electronics of DAMPE BGO Calorimeter Study on FPGA SEU Mitigation for Readout Electronics of AMPE BGO Calorimeter Zhongtao Shen, Changqing Feng, Shanshan Gao, eliang Zhang, i Jiang, Shubin Liu, i An Abstract The BGO calorimeter, which provides

More information

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA Vlad-Mihai PLACINTA 1,2, Lucian Nicolae COJOCARIU 1, Florin MACIUC 1 1. Horia Hulubei National Institute for R&D in Physics and

More information

Design of a Gigabit Distributed Data Multiplexer and Recorder System

Design of a Gigabit Distributed Data Multiplexer and Recorder System Design of a Gigabit Distributed Data Multiplexer and Recorder System Abstract Albert Berdugo VP of Advanced Product Development Teletronics Technology Corporation Bristol, PA Historically, instrumentation

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Rugged Computer Boards and Systems for Harsh, Mobile and Mission-Critical Environments.

Rugged Computer Boards and Systems for Harsh, Mobile and Mission-Critical Environments. MEN Mikro Elektronik GmbH Rugged Computer Boards and Systems for Harsh, Mobile and Mission-Critical Environments. 1 January 21, 2015 As a member of the UN Global Compact Initiative, MEN is committed to

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Network Object Architectures (NOA) for Avionics Wednesday 20th June 10.00am 1.00pm

Network Object Architectures (NOA) for Avionics Wednesday 20th June 10.00am 1.00pm www.ruggedfiberoptics.com Network Object Architectures (NOA) for Avionics Wednesday 20th June 10.00am 1.00pm Spring 2018 Aircraft Network Problems Today 1. Complexity Point to point and point to multi-point

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R Low Power, High-Speed Radiation Tolerant Computer & Flight Experiment Space Micro, Inc. dcz@spacemicro.com D. Czajkowski, M. Pagey, P. Samudrala, M. Goksel, and M. Viehman Space Micro, Inc., 9765 Clairemont

More information

Protocols for Aerospace Control Systems A Comparison of AFDX, ARINC 429, CAN, and TTP

Protocols for Aerospace Control Systems A Comparison of AFDX, ARINC 429, CAN, and TTP Protocols for Aerospace Controls Page 1 Protocols for Aerospace Control Systems A Comparison of AFDX, ARINC 429, CAN, and TTP A number of new and existing data buses are being proposed for use by aircraft

More information

Developing AFDX Solutions

Developing AFDX Solutions Application Note AC221 Developing AFDX Solutions Introduction As the complexity of avionics systems has grown, for both flight-critical items and passenger entertainment, so has the need for increased

More information

Sub-Station Station. Secondary Sub. Sub- Distribution. Network. Distribution Panel. Distribution. Panel

Sub-Station Station. Secondary Sub. Sub- Distribution. Network. Distribution Panel. Distribution. Panel DLC-200 BOX Mini-RTU for Multi Utility and Pipeline Purposes Our strength Low Cost Your benefit! High Performance Only a few types of different modules Simplified cost-effective spares inventory and modular

More information

SCP/DCP U cpci Single Board Computer. Features P R O D U C T D ATA S H E E T

SCP/DCP U cpci Single Board Computer. Features P R O D U C T D ATA S H E E T P R O D U C T D ATA S H E E T SCP/DCP-122 3U cpci Single Board Computer Features Based on the IBM PowerPC 750FX processor - 800+ MHz - 1856+ DMIPS - 100 MHz CPU bus speed - 512 Kbyte of Internal L2 Cache

More information

DTU IMM. MSc Thesis. Analysis and Optimization of TTEthernet-based Safety Critical Embedded Systems. Radoslav Hristov Todorov s080990

DTU IMM. MSc Thesis. Analysis and Optimization of TTEthernet-based Safety Critical Embedded Systems. Radoslav Hristov Todorov s080990 DTU IMM MSc Thesis Analysis and Optimization of TTEthernet-based Safety Critical Embedded Systems Radoslav Hristov Todorov s080990 16-08-2010 Acknowledgements The work for this master thesis project continued

More information

ARINC 818. Avionics Digital Video Bus. Development Suite

ARINC 818. Avionics Digital Video Bus. Development Suite ARINC 818 Avionics Digital Video Bus Development Suite ARINC 818 Development Suite Great River offers a complete set of tools to support your ARINC 818 project. Already, Great River s ARINC 818 tools have

More information

Evaluation of numerical bus systems used in rocket engine test facilities

Evaluation of numerical bus systems used in rocket engine test facilities www.dlr.de Chart 1 > Numerical bus systems > V. Schmidt 8971_151277.pptx > 13.06.2013 Evaluation of numerical bus systems used in rocket engine test facilities Volker Schmidt Pavel Georgiev Harald Horn

More information

Safe and Rugged Computer-On-Modules. Powerful Small Form Factors for Harsh Environments

Safe and Rugged Computer-On-Modules. Powerful Small Form Factors for Harsh Environments Safe and Rugged Computer-On-Modules Powerful Small Form Factors for Harsh Environments Computer-On-Modules for Transportation and Industrial Markets Computer-On-Modules from MEN help you focus on fast

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

Data Acquisition in High Speed Ethernet & Fibre Channel Avionics Systems

Data Acquisition in High Speed Ethernet & Fibre Channel Avionics Systems Data Acquisition in High Speed Ethernet & Fibre Channel Avionics Systems Troy Troshynski Avionics Interface Technologies (A Division of Teradyne) Omaha, NE U.S.A. troyt@aviftech.com http://www.aviftech.com/aggregator

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Fault-Tolerance Projects at Stanford CRC

Fault-Tolerance Projects at Stanford CRC Center for RC eliable omputing jeihgfdcbabakl Fault-Tolerance Projects at Stanford CRC Philip P. Nirmal Saxena Edward J. McCluskey Center for Reliable Computing Computer Systems Laboratory Departments

More information

THE SMART BACKPLANE LOWERING THE COST OF SPACECRAFT AVIONICS BY IMPROVING THE RADIATION TOLERANCE OF COTS ELECTRONIC SYSTEMS Daniel Gleeson, MSc.

THE SMART BACKPLANE LOWERING THE COST OF SPACECRAFT AVIONICS BY IMPROVING THE RADIATION TOLERANCE OF COTS ELECTRONIC SYSTEMS Daniel Gleeson, MSc. THE SMART BACKPLANE LOWERING THE COST OF SPACECRAFT AVIONICS BY IMPROVING THE RADIATION TOLERANCE OF COTS ELECTRONIC SYSTEMS Daniel Gleeson, MSc. Curtiss-Wright, dgleeson@curtisswright.com Milos Melicher,

More information

Deos SafeMCTM. - Flight Software Workshop - Thursday December 7 th, Safety Critical Software Solutions for Mission Critical Systems

Deos SafeMCTM. - Flight Software Workshop - Thursday December 7 th, Safety Critical Software Solutions for Mission Critical Systems Deos SafeMCTM Real-Time DO 178C DAL A Operating System for Safety-Critical Multicore Avionics Systems (ARINC 653 and RTEMS POSIX APIS) Presenter : Theresa Rickman Military Aerospace Accounts - Flight Software

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Designing of Avionics Full Duplex Switch on Netfpga

Designing of Avionics Full Duplex Switch on Netfpga IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 4, Ver. III (Jul.-Aug.2016), PP 17-27 www.iosrjournals.org Designing of Avionics

More information

Dependability. IC Life Cycle

Dependability. IC Life Cycle Dependability Alberto Bosio, Associate Professor UM Microelectronic Departement bosio@lirmm.fr IC Life Cycle User s Requirements Design Re-Cycling In-field Operation Production 2 1 IC Life Cycle User s

More information

NetFPGA Hardware Architecture

NetFPGA Hardware Architecture NetFPGA Hardware Architecture Jeffrey Shafer Some slides adapted from Stanford NetFPGA tutorials NetFPGA http://netfpga.org 2 NetFPGA Components Virtex-II Pro 5 FPGA 53,136 logic cells 4,176 Kbit block

More information

Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA

Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA Paper C2 SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA Authors: S. Baldacci, V. Zolesi, F. Cuzzocrea, T. Ramacciotti (Kayser Italia Srl. Livorno Italy) 1 List of

More information

MicroCore Labs. MCL51 Application Note. Lockstep. Quad Modular Redundant System

MicroCore Labs. MCL51 Application Note. Lockstep. Quad Modular Redundant System MicroCore Labs MCL51 Application Note Lockstep Quad Modular Redundant System Introduction: This application note describes a Lockstep Quad Modular Redundant (QMR) system that employs the microsequencer-based

More information

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Prof. Dr.-Ing. Stefan Kowalewski Chair Informatik 11, Embedded Software Laboratory RWTH Aachen University Summer Semester

More information

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips M. Sonza Reorda, M. Violante Politecnico di Torino Torino, Italy Abstract The availability of multimillion

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

In-Place Associative Computing:

In-Place Associative Computing: In-Place Associative Computing: 1 Page Abstract... 3 Overview... 3 Associative Processing Unit (APU) Card... 3 Host-Device interface... 4 The APU Card Controller... 4 Host to Device Interactions... 5 APU

More information

Introduction To Computer Hardware. Hafijur Rahman

Introduction To Computer Hardware. Hafijur Rahman Introduction To Computer Hardware Lecture 2 Hafijur Rahman What is a Computer? A computer is an electronic device, which can input, process, and output data. input processing output A computer is a machine

More information

Module 5a: Introduction To Memory System (MAIN MEMORY)

Module 5a: Introduction To Memory System (MAIN MEMORY) Module 5a: Introduction To Memory System (MAIN MEMORY) R E F E R E N C E S : S T A L L I N G S, C O M P U T E R O R G A N I Z A T I O N A N D A R C H I T E C T U R E M O R R I S M A N O, C O M P U T E

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

Clearspeed Embedded Apps and Architecture for Space

Clearspeed Embedded Apps and Architecture for Space Clearspeed Embedded Apps and Architecture for Space EEL 6686: Presentation 1 Chris Morales Kaz Onishi ECE University of Florida, Gainesville, Florida January 29, 2015 1 / 32 Introduction Embedded systems

More information

Equipment for measuring. cosmic-ray effects on DRAM

Equipment for measuring. cosmic-ray effects on DRAM Equipment for measuring cosmic-ray effects on DRAM Examensarbete utfört i elektroniksystem av Per-Axel Jonsson LiTH-ISY-EX--07/4031--SE Linköping, 2007 Equipment for measuring cosmic-ray effects on DRAM

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Emulation Based Approach to ISO Compliant Processors Design by David Kaushinsky, Application Engineer, Mentor Graphics

Emulation Based Approach to ISO Compliant Processors Design by David Kaushinsky, Application Engineer, Mentor Graphics Emulation Based Approach to ISO 26262 Compliant Processors Design by David Kaushinsky, Application Engineer, Mentor Graphics I. INTRODUCTION All types of electronic systems can malfunction due to external

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

Radiation Hardened System Design with Mitigation and Detection in FPGA

Radiation Hardened System Design with Mitigation and Detection in FPGA Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2016 Radiation Hardened System Design with Mitigation and Detection in FPGA Hampus Sandberg

More information

FPGAs operating in a radiation environment: lessons learned from FPGAs in space

FPGAs operating in a radiation environment: lessons learned from FPGAs in space Journal of Instrumentation OPEN ACCESS FPGAs operating in a radiation environment: lessons learned from FPGAs in space To cite this article: M J Wirthlin View the article online for updates and enhancements.

More information

Detector Control System board for FAIR. J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt

Detector Control System board for FAIR. J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt Detector Control System board for FAIR J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt For the PANDA Collaboration Meeting in Darmstadt, 08.06.2016

More information

LEON- PCI- UMC Development Board

LEON- PCI- UMC Development Board LEON- PCI- UMC Development Board Test Report GAISLER RESEARCH / PENDER ELECTRONIC DESIGN Rev. 1.2, 2004-04- 02 LEON- PCI- UMC Development Board Test Report 2 Gaisler Resarch LEON- PCI- UMC Development

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Communication in Avionics

Communication in Avionics Communication in Avionics 1 Outline Basic Overview Communication architectures Event Triggered Time Triggered Communication architecture examples Case Study: How Data Communication Affects Scheduling 2

More information

Actuator Power Systems for MEA

Actuator Power Systems for MEA Power Matters. TM Actuator Power Systems for MEA 1 Introduction to More Electric Aircrafts WHY? Reduce maintenance costs Reduce fuel burn and environmental impact Improve safety and reliability HOW are

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

SMCSlite and DS-Link Macrocell Development

SMCSlite and DS-Link Macrocell Development and DS-Link Macrocell Development Microelectronics Final Presentation Days, ESTEC, 6-7 March 2001 Anja Christen Tim Pike Paul Rastetter Astrium GmbH, D-81663 Ottobrunn Tel. ++49-89-60720267, Fax ++49-89-60721302

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422)

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) Memory In computing, memory refers to the computer hardware devices used to store information for immediate use

More information

GE Intelligent Platforms. Avionics

GE Intelligent Platforms. Avionics GE Intelligent Platforms Avionics Leadership For more than two decades, GE Intelligent Platforms has been a leader in avionics products for military and aerospace applications. Our products portfolio supports

More information

Dependable Systems made by FIRST

Dependable Systems made by FIRST Dependable Systems made by FIRST Sergio Montenegro FhG FIRST www.first.fhg.de/~sergio sergio@first.fhg.de Dependable Systems made by FIRST Automation Traffic Space Missions ICER ELIMA PowerBIRD BOSS BOSS...

More information

Aviation Cyber Security Efforts

Aviation Cyber Security Efforts Aviation Cyber Security Efforts Airlines Electronic Engineering Committee (AEEC) Rev version H: May 2018 Paul J. Prisaznuk AEEC Executive Secretary and Program Director This document summarizes the efforts

More information

ACC, a Next Generation CAN Controller

ACC, a Next Generation CAN Controller ACC, a Next Generation CAN Controller Reinhard Arlt, esd electronic system design gmbh Andreas Block, esd electronic system design gmbh Tobias Höger, esd electronic system design gmbh Most standalone CAN

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Latches SEU en techno IBM 130nm pour SLHC/ATLAS CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France Outline Introduction Description of the DICE latch Different implemented layouts for the

More information

Why ARINC 818? A White Paper by Paul Grunwald

Why ARINC 818? A White Paper by Paul Grunwald Why ARINC 818? A White Paper by Paul Grunwald Paul Grunwald Director of Business Development Great River Technology August 27, 2012 Why ARINC 818? Introduction ARINC 818 standardizes the Avionics Digital

More information

LMC058LF42 compact base - 42 I/O - 24 V DC supply

LMC058LF42 compact base - 42 I/O - 24 V DC supply Characteristics compact base - 42 I/O - 24 V DC supply Product availability : Stock - Normally stocked in distribution facility Price* : 2054.54 USD Main Range of product Product or component type Product

More information

A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture

A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture Jiri Gaisler Gaisler Research, 411 08 Göteborg, Sweden jiri@gaisler.com Abstract The architecture and implementation of the

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information