On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

Size: px
Start display at page:

Download "On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs"

Transcription

1 On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece {ksiop, dsoudris}@microlab.ntua.gr 2 Ruhr-University of Bochum ermany MV, 2012 Property of MV All rights reserved michael.huebner@rub.de

2 Presentation Outline Introduction Why fault tolerance is critical? Especially for the FPA domain Motivation Limitations of existing solutions Proposed methodology Experimental results Conclusions On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 2 MV, 2012 with Virtual FPAs 1

3 Reconfigurable platforms are everywhere On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 3 MV, 2012 with Virtual FPAs 2

4 MV, 2012

5 If anything can go wrong, it will Murphy s Law MV, 2012

6 If anything can go wrong, it will Murphy s Law It is difficult to predict what will happen when there are failures MV, 2012

7 On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 7 MV, 2012 with Virtual FPAs 3

8 What is fault? A fault is the cause of the error A system fails when it cannot meet its promises (specifications) How can we deal with problems? Option 1: Make problems less likely Option 2: Fail, but don t corrupt anything Option 3: Transparently tolerate problems Faults can be: Transient (appear once and disappear) Intermittent (appear-disappear-reappear behavior) Permanent (appear and persist until repaired) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 8 MV, 2012 with Virtual FPAs 4

9 # of Failures Failures start appearing much sooner System-level failures appear much sooner during operation, reducing the system lifetime and jeopardizing lifetime specs MTTF A.j n.e E a k B T Warranted Lifetime Expected Lifetime Time uaranteed product life-time diminishes significantly! On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 9 MV, 2012 with Virtual FPAs 5

10 # of Failures Failures start appearing much sooner System-level failures appear much sooner during operation, reducing the system lifetime and jeopardizing lifetime specs MTTF A.j n.e E a k B T Warranted Lifetime Expected Lifetime Time uaranteed product life-time diminishes significantly! On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 10 MV, 2012 with Virtual FPAs 5

11 The impact of SEU faults in FPA User s design E1 E2 Upset type 1 E1 E3 E2 E3 clk Upset type 2 Upset type 3 Upset type 4 E1 E2 E3 E4 LUT M M F/F M M M M M BlockRAM M Configuration Memory Cell SEU (Bit flip) Virtex FPA Faults are more crucial for FPAs than ASICs They can alter the design, not only the data On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 11 MV, 2012 with Virtual FPAs 6

12 The 4 stages of fault-free architectures Execution Monitoring Failure Failure Repair Detection On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 12 MV, 2012 with Virtual FPAs 7

13 On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 13 MV, 2012 with Virtual FPAs 8

14 On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 14 MV, 2012 with Virtual FPAs 8

15 Why temperature is critical? Power dissipation has peaked This becomes even more important with device scaling Higher power densities On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 15 MV, 2012 with Virtual FPAs 9

16 Thermal stress: Initial vs. Xilinx TMR Initial (without TMR) Uniform TMR (e.g. Xilinx TMR) (a) 0% 20% 20% - 40% 40% - 60% 60% - 80% 80% - 100% (b) Minimum Temperature Maximum Temperature On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 16 MV, 2012 with Virtual FPAs 10

17 Locating Regions of Importance The first step in order to build a reliable system is to identify possible regions with increased failure probability. These regions mostly include hardware resources that implement application s functionalities with increased switching capacitance (based on switching capacitance) (based on temperature) (a) (b) Minimum Value 0% 20% 40% 60% 80% 100% Maximum Value Target benchmark: DES (1,591 Slices) The temperature profile derived from Hotspot On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 17 MV, 2012 with Virtual FPAs 11

18 Locating Regions of Importance The first step in order to build a reliable system is to identify possible regions with increased failure probability. These regions mostly include hardware resources that implement application s functionalities with increased switching capacitance (based on switching capacitance) (based on temperature) (a) (b) Target benchmark: DES (1,591 Slices) The temperature profile derived from Hotspot On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 18 MV, 2012 with Virtual FPAs 12

19 Locating Regions of Importance The first step in order to build a reliable system is to identify possible regions with increased failure probability. These regions mostly include hardware resources that implement application s functionalities with increased switching capacitance (based on switching capacitance) (based on temperature) TMR requirement TMR requirement (a) (b) Target benchmark: DES (1,591 Slices) The temperature profile derived from Hotspot On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 19 MV, 2012 with Virtual FPAs 12

20 Locating Regions of Importance The first step in order to build a reliable system is to identify possible regions with increased failure probability. These regions mostly include hardware resources that implement application s functionalities with increased switching capacitance (based on switching capacitance) (based on temperature) Differences between estimation and simulation (a) (b) The variation between these maps is only 126 slices (or about 8% of the total device slices) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 20 MV, 2012 with Virtual FPAs 12

21 Locating Regions of Importance The first step in order to build a reliable system is to identify possible regions with increased failure probability. These regions mostly include hardware resources that implement application s functionalities with increased switching capacitance 60 Region without redundancy (Appfault = No) Region with redundancy (Appfault = Yes) Q Region for game theory (Appfault = Maybe) PoFH PoF L PoFL borders (a) (b) Target benchmark: DES (1591 Slices) The temperature profile derived from Hotspot On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 21 MV, 2012 with Virtual FPAs 13

22 Normalized EDP Exploration space for game theory Optimal solution in term of PoF reduction (PoF H =1.00 & PoF L =0.00) Optimal solution in term of EDP reduction (PoF H =0.50 & PoF L =0.50) Candidate solutions Selected solution (PoF H =0.66 & PoF L =0.34) Normalized PoF On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 22 MV, 2012 with Virtual FPAs 14

23 Proposed Framework Design-Time Insertion of TMR (e.g. [Xilinx2010]) Application (HDL) Synthesis (Quartus) Static Fault Tolerance P&R (VPR) Calculate map with PoF values (Fault-Free) Designer Input/Output Existing Software Tool New Software Tool Condition On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 23 MV, 2012 with Virtual FPAs 15

24 Proposed Framework Design-Time Designer Insertion of TMR (e.g. [Xilinx2010]) Application (HDL) Synthesis (Quartus) Static Fault Tolerance P&R (VPR) Calculate map with PoF values (Fault-Free) Evaluation Fault injection tool (Fault-Inject) Selective elimination of TMR (Fault-Free) Desired fault masking Task to be solved with game theory Input/Output Existing Software Tool New Software Tool Condition On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 24 MV, 2012 with Virtual FPAs 15

25 Proposed Framework Design-Time Designer Insertion of TMR (e.g. [Xilinx2010]) Application (HDL) Synthesis (Quartus) Static Fault Tolerance P&R (VPR) Calculate map with PoF values (Fault-Free) Evaluation Fault injection tool (Fault-Inject) Selective elimination of TMR (Fault-Free) Desired fault masking No Acceptable solution? Task to be solved with game theory Input/Output Existing Software Tool New Software Tool Condition On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 25 MV, 2012 with Virtual FPAs 15

26 Proposed Framework Design-Time Designer Insertion of TMR (e.g. [Xilinx2010]) Application (HDL) Synthesis (Quartus) Static Fault Tolerance P&R (VPR) Calculate map with PoF values (Fault-Free) Evaluation Fault injection tool (Fault-Inject) Selective elimination of TMR (Fault-Free) Desired fault masking No Acceptable solution? Task to be solved with game theory Yes Run-Time Selectively enable/disable fault tolerance (Dagger) Update map with PoF values (Fault-Free) No Same? Yes Compute map with PoF values (Fault-Free) Fault injection tool (Fault-Inject) Application execution Dynamic Fault Tolerance Input/Output Existing Software Tool New Software Tool Condition On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 26 MV, 2012 with Virtual FPAs 15

27 MEANDER Framework On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 27 MV, 2012 with Virtual FPAs 15

28 Architecture of a Virtual FPA The underline hardware is a general-purpose conventional FPA device. Additional technical details about the underline Virtual- FPA architecture can be found in [1] [1] M. Hubner, et.al., A Heterogeneous Multicore System on Chip with Run-Time Reconfigurable Virtual FPA Architecture, In Proc. of (RAW), pp , May 2011, USA. On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 28 MV, 2012 with Virtual FPAs 16

29 Application mapping under different fault tolerant scenarios With TMR 4-input LUT Original functionality D - F/F 4-input D - F/F LUT 4 10 Output Inputs Replica #1 2:1 MUX 2:1 MUX A B 4-input LUT D - F/F 2:1 MUX C Replica #2 4-input LUT D - F/F 2:1 MUX V Voter Clock Clear 4 (a) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 29 MV, 2012 with Virtual FPAs 17

30 Application mapping under different fault tolerant scenarios 4-input LUT D - F/F 2:1 MUX A 4-input LUT D - F/F 2:1 MUX A With TMR Original functionality 4-input D - F/F LUT 4 10 Output Inputs Replica #1 2:1 MUX B Original functionality 4-input D - F/F LUT 4 10 Output Inputs Off 2:1 MUX B Without TMR 4-input LUT D - F/F 2:1 MUX C 4-input LUT D - F/F 2:1 MUX C Replica #2 Off 4-input LUT D - F/F 2:1 MUX V 4-input LUT D - F/F 2:1 MUX V Voter Off Clock Clear 4 (a) Clock Clear 4 (b) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 30 MV, 2012 with Virtual FPAs 17

31 Application mapping under different fault tolerant scenarios 4-input LUT D - F/F 2:1 MUX A 4-input LUT D - F/F 2:1 MUX A With TMR Original functionality 4-input D - F/F LUT 4 10 Output Inputs Replica #1 2:1 MUX B Original functionality 4-input D - F/F LUT 4 10 Output Inputs Off 2:1 MUX B Without TMR 4-input LUT D - F/F 2:1 MUX C 4-input LUT D - F/F 2:1 MUX C Replica #2 Off 4-input LUT D - F/F 2:1 MUX V 4-input LUT D - F/F 2:1 MUX V Voter Off Clock Clear 4 (a) Clock Clear 4 (b) Original BLE (A) Replica #1 (B) Output (V) A B C Replica #2 (C) V (c) (d) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 31 MV, 2012 with Virtual FPAs 17

32 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% Normalized fault masking (F.M.) 50% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 97% 90% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 32 MV, 2012 with Virtual FPAs 18 75% 90% 95% Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

33 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% Normalized fault masking (F.M.) 50% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 90% 97% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 33 MV, 2012 with Virtual FPAs 18 75% 5% 90% 95% acceptable solutions that meet performance specifications Maximum affordable degradation in operation frequency Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

34 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% Normalized fault masking (F.M.) 50% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 90% 97% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 34 MV, 2012 with Virtual FPAs 18 75% 5% 90% 95% acceptable solutions that meet performance specifications Maximum affordable degradation in operation frequency Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

35 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% Normalized fault masking (F.M.) 50% 45% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 90% 97% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 35 MV, 2012 with Virtual FPAs 18 75% 5% 90% 95% acceptable solutions that meet performance specifications Maximum affordable degradation in operation frequency Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

36 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% 8% Normalized fault masking (F.M.) 50% 45% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 90% 97% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 36 MV, 2012 with Virtual FPAs 18 75% 5% 90% 95% acceptable solutions that meet performance specifications Maximum affordable degradation in operation frequency Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

37 Exploration space Normalized Maximum Operation Frequency Normalized Maximum Operation Frequency % No TMR 25% Normalized fault masking (F.M.) 50% 0% 10% 15% 35% 20% 30% 50% 65% 40% 50% 75% 60% 84% 90% 70% 94% 80% 90% 97% 100% Percentage of triplicated Fault Masking hardware (%) resources (Fr) Maximum Operation Frequency (Timing-aware P&R [27], [37]) Maximum Operation Frequency (Fault tolerant-aware P&R) Power Consumption (Timing-aware P&R [27], [37]) Power Consumption (Fault tolerant-aware P&R) On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 37 MV, 2012 with Virtual FPAs 18 75% 5% 22% 13% 90% 95% acceptable solutions that meet performance specifications Maximum affordable degradation in operation frequency Uniform TMR [26] 100% Normalized Power Consumption Normalized Power Consumption

38 Number of sensitive bits On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 38 MV, 2012 with Virtual FPAs 21

39 Experimental setup On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 39 MV, 2012 with Virtual FPAs 19

40 Execution cycles for identifying suspicious slices SPARTAN - QR Meeting 2012/07/03 Page 40 MV, 2012

41 Number of slices that should be protected On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 41 MV, 2012 with Virtual FPAs 20

42 Evaluation results On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 42 MV, 2012 with Virtual FPAs 22

43 Evaluation results Delay improvement: 27% Power improvement: 23% On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 43 MV, 2012 with Virtual FPAs 22

44 Conclusions A novel framework that support application implementation with fault-tolerance, is discussed Both at design-time, as well as run-time The methodology is applicable to commercial devices through the usage of Virtual FPA platform The corrective action is immediate, since the faulty module never affects the circuit The conversion of a non-redundant system to a redundant one is easily undertaken without hardware modifications. On SPARTAN Supporting - QR Meeting Adaptive Fault Tolerant 2012/07/03 Page at Run-Time 44 MV, 2012 with Virtual FPAs 23

45 Thank you!? more info at SPARTAN - QR Meeting 2012/07/03 Page 45 MV, 2012

Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs

Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs Kostas Siozios 1, Dimitrios Soudris 1 and Dionisios Pnevmatikatos 2 1 School of Electrical & Computer Engineering, National Technical University

More information

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs Harrys Sidiropoulos, Kostas Siozios and Dimitrios Soudris School of Electrical & Computer Engineering National

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Jano Gebelein Infrastructure and Computer Systems in Data Processing (IRI) Frankfurt University Germany January 31st, 2012 Mannheim, Germany 1 Outline

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Designing Heterogeneous FPGAs with Multiple SBs *

Designing Heterogeneous FPGAs with Multiple SBs * Designing Heterogeneous FPGAs with Multiple SBs * K. Siozios, S. Mamagkakis, D. Soudris, and A. Thanailakis VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus

More information

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro Instituto de Informática Universidade Federal do Rio Grande do Sul (UFRGS) Porto Alegre, RS - Brazil

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 3 - Resilient Structures Chapter 2 HW Fault Tolerance Part.3.1 M-of-N Systems An M-of-N system consists of N identical

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University Soft-error and Variability Resilience in Dependable VLSI Platform Hidetoshi Onodera Kyoto University Outline: Soft-error and Variability Resilience 1 Background Overview: Dependable VLSI Platform Circuit-level

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

Utilizing Parallelism of TMR to Enhance Power Efficiency of Reliable ASIC Designs

Utilizing Parallelism of TMR to Enhance Power Efficiency of Reliable ASIC Designs Utilizing Parallelism of TMR to Enhance Power Efficiency of Reliable ASIC Designs Hagen Sämrow, Claas Cornelius, Jakob Salzmann, Andreas Tockhorn, Dirk Timmermann 30/11/2010, Cairo Institute of Applied

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

LOW POWER DESIGN IMPLEMENTATION OF A SIGNAL ACQUISITION MODULE RAVI BHUSHAN THAKUR. B.Tech, Jawaharlal Nehru Technological University, INDIA 2007

LOW POWER DESIGN IMPLEMENTATION OF A SIGNAL ACQUISITION MODULE RAVI BHUSHAN THAKUR. B.Tech, Jawaharlal Nehru Technological University, INDIA 2007 LOW POWER DESIGN IMPLEMENTATION OF A SIGNAL ACQUISITION MODULE by RAVI BHUSHAN THAKUR B.Tech, Jawaharlal Nehru Technological University, INDIA 2007 A THESIS submitted in partial fulfillment of the requirements

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity- based Measurement Application on Xilinx FPGAs Abstract The application of Field Programmable

More information

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters ECE 7502 Class Discussion Ningxi Liu 14 th Apr 2015 ECE 7502 S2015 Customer Validate Requirements Verify Specification

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited.

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited. LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Intended for: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the Los Alamos

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Error Resilience in Digital Integrated Circuits

Error Resilience in Digital Integrated Circuits Error Resilience in Digital Integrated Circuits Heinrich T. Vierhaus BTU Cottbus-Senftenberg Outline 1. Introduction 2. Faults and errors in nano-electronic circuits 3. Classical fault tolerant computing

More information

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring Outline Trusted Design in FPGAs Mohammad Tehranipoor ECE6095: Hardware Security & Trust University of Connecticut ECE Department Intro to FPGA Architecture FPGA Overview Manufacturing Flow FPGA Security

More information

Improved Fault Tolerant Sparse KOGGE Stone ADDER

Improved Fault Tolerant Sparse KOGGE Stone ADDER Improved Fault Tolerant Sparse KOGGE Stone ADDER Mangesh B Kondalkar 1 Arunkumar P Chavan 2 P Narashimaraja 3 1, 2, 3 Department of Electronics and Communication, R V college of Engineering, Bangalore

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE 90 CHAPTER 5 CHE BASED SoPC FOR EVOLVABLE HARDWARE A hardware architecture that implements the GA for EHW is presented in this chapter. This SoPC (System on Programmable Chip) architecture is also designed

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs F. Lima Kastensmidt, L. Sterpone, L. Carro, M. Sonza Reorda To cite this version: F. Lima Kastensmidt, L. Sterpone, L. Carro,

More information

A Framework for Exploring Alternative Fault-Tolerant Schemes Targeting 3-D Reconfigurable Architectures

A Framework for Exploring Alternative Fault-Tolerant Schemes Targeting 3-D Reconfigurable Architectures A Framework for Exploring Alternative Fault-Tolerant Schemes Targeting 3-D Reconfigurable Architectures Kostas Siozios, Ioannis Savidis, and Dimitrios Soudris School of Electrical and Computer Engineering,

More information

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Yingyi Luo, Xiaoyang Wang, Seda Ogrenci-Memik, Gokhan Memik, Kazutomo Yoshii, Pete Beckman @ICCD 2018 Motivation FPGAs in data centers

More information

Optimization of power and area using majority voter based fault tolerant VLSI circuits

Optimization of power and area using majority voter based fault tolerant VLSI circuits Optimization of power and area using majority voter based fault tolerant VLSI circuits Kalpana 1, Umesh Pal Singh 2 1,2 Seth Jai Parkas Mukand Lal Institute of Engineering and Technology Radaur (YNR),

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy Andrew L. Baldwin, BS 09, MS 12 W. Robert Daasch, Professor Integrated Circuits Design and Test Laboratory Problem Statement In a fault

More information

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Anurag Tiwari and Karen A. Tomko Department of ECECS, University of Cincinnati Cincinnati, OH 45221-0030, USA {atiwari,

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Clearspeed Embedded Apps and Architecture for Space

Clearspeed Embedded Apps and Architecture for Space Clearspeed Embedded Apps and Architecture for Space EEL 6686: Presentation 1 Chris Morales Kaz Onishi ECE University of Florida, Gainesville, Florida January 29, 2015 1 / 32 Introduction Embedded systems

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Fault Tolerance. The Three universe model

Fault Tolerance. The Three universe model Fault Tolerance High performance systems must be fault-tolerant: they must be able to continue operating despite the failure of a limited subset of their hardware or software. They must also allow graceful

More information

Validation of the Proposed Hardness Analysis Technique for FPGA Designs to Improve Reliability and Fault-Tolerance

Validation of the Proposed Hardness Analysis Technique for FPGA Designs to Improve Reliability and Fault-Tolerance Validation of the Proposed Hardness Analysis Technique for FPGA Designs to Improve Reliability and Fault-Tolerance Abdul Rafay Khatri 1, Ali Hayek 2, Josef Börcsök 3 Department of Computer Architecture

More information

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies Abstract: Performance degradation tolerance (PDT) has been shown to be able to effectively improve the yield, reliability,

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Development of tools supporting. MEANDER Design Framework

Development of tools supporting. MEANDER Design Framework Development of tools supporting FPGA reconfigurable hardware MEANDER Design Framework Presentation Outline Current state of academic design tools Proposed design flow Proposed graphical user interface

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Computer Architecture ECE 568 Part 6 Input/Output Israel Koren ECE568/Koren Part.6. Motivation: Why Care About I/O? CPU Performance:

More information

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Huiyang Zhou School of Computer Science University of Central Florida New Challenges in Billion-Transistor Processor Era

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures

Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Low energy and High-performance Embedded Systems Design and Reconfigurable Architectures Ass. Professor Dimitrios Soudris School of Electrical and Computer Eng., National Technical Univ. of Athens, Greece

More information

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Availability. Copyright 2010 Daniel J. Sorin Duke University Advanced Computer Architecture II (Parallel Computer Architecture) Availability Copyright 2010 Daniel J. Sorin Duke University Definition and Motivation Outline General Principles of Available System Design

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568 UNIVERSITY OF MASSACHUSETTS Dept of Electrical & Computer Engineering Computer Architecture ECE 568 art 5 Input/Output Israel Koren ECE568/Koren art5 CU performance keeps increasing 26 72-core Xeon hi

More information

Research Article Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology

Research Article Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology International Journal of Reconfigurable Computing Volume 2008, Article ID 764942, 18 pages doi:10.1155/2008/764942 Research Article Architecture-Level Exploration of Alternative Interconnection Schemes

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Sept. 5 th : Homework 1 release (due on Sept.

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Dynamic Partial Reconfiguration in Xilinx FPGAs. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Dynamic Partial Reconfiguration in Xilinx FPGAs. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Dynamic Partial Reconfiguration in Xilinx FPGAs ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Introduction Dynamic Partial Reconfiguration has been implemented in Xilinx FPGAs as early as Virtex-2 devices

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

Dependability. IC Life Cycle

Dependability. IC Life Cycle Dependability Alberto Bosio, Associate Professor UM Microelectronic Departement bosio@lirmm.fr IC Life Cycle User s Requirements Design Re-Cycling In-field Operation Production 2 1 IC Life Cycle User s

More information

Neural Network based Energy-Efficient Fault Tolerant Architect

Neural Network based Energy-Efficient Fault Tolerant Architect Neural Network based Energy-Efficient Fault Tolerant Architectures and Accelerators University of Rochester February 7, 2013 References Flexible Error Protection for Energy Efficient Reliable Architectures

More information

Side-Channel Countermeasures for Hardware: is There a Light at the End of the Tunnel?

Side-Channel Countermeasures for Hardware: is There a Light at the End of the Tunnel? Side-Channel Countermeasures for Hardware: is There a Light at the End of the Tunnel? 11. Sep 2013 Ruhr University Bochum Outline Power Analysis Attack Masking Problems in hardware Possible approaches

More information

Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs

Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs Juan Antonio Clemente a, Wassim Mansour b, Rafic Ayoubi c, Felipe Serrano a, Hortensia Mecha a, Haissam Ziade d, Wassim El Falou

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

WITH the continuous decrease of CMOS feature size and

WITH the continuous decrease of CMOS feature size and IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 5, MAY 2012 777 IVF: Characterizing the Vulnerability of Microprocessor Structures to Intermittent Faults Songjun Pan, Student

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric

DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric DRAF: A Low-Power DRAM-based Reconfigurable Acceleration Fabric Mingyu Gao, Christina Delimitrou, Dimin Niu, Krishna Malladi, Hongzhong Zheng, Bob Brennan, Christos Kozyrakis ISCA June 22, 2016 FPGA-Based

More information

Radiation Hardened System Design with Mitigation and Detection in FPGA

Radiation Hardened System Design with Mitigation and Detection in FPGA Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2016 Radiation Hardened System Design with Mitigation and Detection in FPGA Hampus Sandberg

More information

A reliability-aware design methodology for embedded systems on multi-fpga platforms

A reliability-aware design methodology for embedded systems on multi-fpga platforms A reliability-aware design methodology for embedded systems on multi-fpga platforms Chiara Sandionigi sandionigi@elet.polimi.it Final presentation Agenda 1 2 The proposed reliability-aware design methodology

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Chapter 2. FPGA and Dynamic Reconfiguration ...

Chapter 2. FPGA and Dynamic Reconfiguration ... Chapter 2 FPGA and Dynamic Reconfiguration... This chapter will introduce a family of silicon devices, FPGAs exploring their architecture. This work is based on these particular devices. The chapter will

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information