Project design tutorial (I)

Size: px
Start display at page:

Download "Project design tutorial (I)"

Transcription

1 Project design tutorial (I) Design or project specifications Divide the project or system into blocks or subsystems (top-down design) (hierarchical design) Analogue subsystem Mixed-signal subsystem Digital subsystem Sensor models Sensor conditioners Amplifiers Filters A/D converter D/A converter V/F and F/V PWM LCD and 7-segment displays Keyboard and switches LED's and indicadors Communications (SPI, I2C, USART, etc) Memory banks Microprocessor 1 Project design tutorial (II) Typical sensor analogue subsystem Sensor Pressure, temperature, speed, acceleration, etc. Signal conditioner Voltage references, operational amplifiers, transistors, etc. High level, noise-less signal (output voltage) which is a function of the sensed magnitude 2

2 Project design tutorial (III) Typical sensor analogue subsystem Sensor Pressure, temperature, speed, acceleration, etc. Signal conditioner Voltage references, operational amplifiers, transistors, etc. High level, noise-less signal (output voltage) which is a function of the sensed magnitude 3 Project design tutorial (IV) interfacing analogue signals Signal V1(t) Signal V2(t) Analogue multiplexer Sample Hold A/D converter n-bit digital vector Signal V8(t) From sensor subsystems A single chip or a microcontroller peripheral 4

3 Analogue or mixed-signal subsystem specifications Project design tutorial (V) Values for all components Free demos or professional software (Proteus-VSM) circuit design SPICE based simulation demo or prototype board Printed circuit board (PCB) design PCAD software Pre-production 5 microcontroller subsystem specifications hardware design microcontroller (PIC, 8051, etc.) assembler or C programming MPLAB HI-TECH, CCS, IAR, etc., C Compiler Project design tutorial (VI) (Integrated hardware and software Proteus-VSM) SPICE based simulation demo or prototype board microcontroller programming Printed circuit board (PCB) design PCAD software Pre-production 6

4 An example: Initial design of an absolute pressure meter Specifications: Pressure range from 900 hpa 1100 hpa 5 V power supply Data from the sensor datasheet Initial design of the sensor conditioner SPICE-based electrical simulation Microcontroller circuit and software design Prototyping Vcc M1 MPX Volts See the web page for details: Some concepts involved: physical quantities, sensors, instrumentation, electronic circuits, simulation, analogue-to-digital interfaces, PIC microcontrollers, C code compiler 7 Digital technology roadmap Schematics VHDL The theory basics and the classic 74 series (SSI MSI) The versatile GAL22V10 ( 500 logic gates) Sequential VHDL C Altera CPLD MAX7128 FLEX10k (2,5k 70k logic gates) FPGA Altera NIOS system (>200k logic gates) Programmable Logic Devices (SPLD CPLD) on Programmable Chip (SoPC) Microprocessors (µp) Microcontrollers (µc) Peripherals and circuits of medium complexity Large volume of production PIC family of microcomputers on Chip (SoC) ASICS (GA) Professional applications in Telecommunications and Telematics Assembler C language Combinational Simple circuits FSM Digital Electronics Digital Electronic Advanced optional subjects or research 8

5 CAD tools for digital electronic design systems Chapter 1: PLD s The theory basics and the classic 74 series (SSI MSI) Combinational The versatile GAL22V10 Altera CPLD MAX7128 FLEX10k ( 500 logic gates) (2,5k 70k logic gates) Programmable Logic Devices (SPLD) Sequential Simple circuits FSM Programmable Logic Devices (CPLD) Peripherals and circuits of medium complexity Circuit design, simulation and device programming using Schematics SPICE based simulation VHDL Printed Circuit Board design and prototyping PROTOGAL Board UP1 Board 9 UP1 Chapter 1: PLD s LAB training for CPLD FPGA systems Foto from the internet, source: Altera s MAX+plus II and the UP 1 Educational Board A User s Guide for Advanced Logic Design, CPE/EE 422/502 B. Earl Wells, Sin Ming Loo Department of Electrical and Computer Engineering The University of Alabama in Huntsville Huntsville, AL Version 1, September

6 CAD tools for digital electronic design systems Chapter 2: µp µc Microchip PIC family of microcontrollers Circuit design, simulation and device programming using Assembler C SPICE based interactive simulation of microcontroller circuits Printed Circuit Board design and prototyping 11 PIC boards Chapter 2: µp µc LAB training for microcontroller systems PICDEM2 board PIC Millennium Board ( 12

7 CAD tools for digital electronic design systems Chapter 3: on Programmable Chip (SoPC) Professional applications in Telecommunications and Telematics PLD Altera NIOS system (>200k logic gates) SoPC Builder and device programming using Schematics VHDL C compiler and Shell for NIOS processor (serial port interfaced) Printed Circuit Board design and prototyping 13 Chapter 3: SoPC NIOS Development Board LAB training for SoPC based in the Altera APEX EP20K200E FPGA (484 pin) 14

8 You must simulate and use as many as possible CAD-EDA tools before lab prototyping EPSC has many software licenses for you to use Computer Aided Design (CAD) Electronic Design Automation (EDA) Find some examples in 15

Programming 8-bit PIC Microcontrollers in С

Programming 8-bit PIC Microcontrollers in С Programming 8-bit PIC Microcontrollers in С with Interactive Hardware Simulation Martin P. Bates älllllltlilisft &Щ*лЛ AMSTERDAM BOSTON HEIDELBERG LONDON ^^Ш NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO

More information

PREFACE. Changes to the SOPC Edition

PREFACE. Changes to the SOPC Edition PREFACE Changes to the SOPC Edition Rapid Prototyping of Digital Systems provides an exciting and challenging laboratory component for undergraduate digital logic and computer design courses using FPGAs

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational

More information

ELCT708 MicroLab Session #1 Introduction to Embedded Systems and Microcontrollers. Eng. Salma Hesham

ELCT708 MicroLab Session #1 Introduction to Embedded Systems and Microcontrollers. Eng. Salma Hesham ELCT708 MicroLab Session #1 Introduction to Embedded Systems and Microcontrollers What is common between these systems? What is common between these systems? Each consists of an internal smart computer

More information

Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad

Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad Embedded Systems Lab Lab 1 Introduction to Microcontrollers Eng. Dalia A. Awad Objectives To be familiar with microcontrollers, PIC18F4550 microcontroller. Tools PIC18F4550 Microcontroller, MPLAB software,

More information

Digital Electronics 27. Digital System Design using PLDs

Digital Electronics 27. Digital System Design using PLDs 1 Module -27 Digital System Design 1. Introduction 2. Digital System Design 2.1 Standard (Fixed function) ICs based approach 2.2 Programmable ICs based approach 3. Comparison of Digital System Design approaches

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN 2 3 4 5 6 7 8 9 2 3 4 5 6 7 8 9 2 Implementation in Sequential Logic 2 PAL Logic Implementation Design Example: BCD to Gray Code Converter A B

More information

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India Trends in Prototyping Systems ni logic Pvt. Ltd., Pune, India Focus of design dept. Electronic system & Flow Design problems Educating design Prototype USDP Features Applications Conclusion Agenda Faster

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

Control System Implementation

Control System Implementation Control System Implementation Hardware implementation Electronic Control systems are also: Members of the Mechatronic Systems Concurrent design (Top-down approach?) Mechanic compatibility Solve the actual

More information

FPGA for Dummies. Introduc)on to Programmable Logic

FPGA for Dummies. Introduc)on to Programmable Logic FPGA for Dummies Introduc)on to Programmable Logic FPGA for Dummies Historical introduc)on, where we come from; FPGA Architecture: Ø basic blocks (Logic, FFs, wires and IOs); Ø addi)onal elements; FPGA

More information

Hardware Resources in Digital Systems Teaching

Hardware Resources in Digital Systems Teaching Hardware Resources in Digital Systems Teaching Yimin Xie, David Wong and Yinan Kong Department of Physics and Engineering Macquarie University Sydney, NSW 2109, Australia ABSTRACT This paper provides an

More information

The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the website.

The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the  website. PICAXE VSM Tutorial Part 1 The PICAXE VSM Tutorial is split into a number of chapters. Each chapter can be downloaded free of charge from the www.picaxevsm.com website. Part 1 Part 2 Part 3 Part 4 Park

More information

Group 10 Programmable Sensor Output Simulator Progress Report #2

Group 10 Programmable Sensor Output Simulator Progress Report #2 Department of Electrical Engineering University of Victoria ELEC 499 Design Project Group 10 Programmable Sensor Output Simulator Progress Report #2 March 5, 2005 Submitted by: Group No.: 10 Team: Exfour

More information

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1

Electronic Control systems are also: Members of the Mechatronic Systems. Control System Implementation. Printed Circuit Boards (PCBs) - #1 Control System Implementation Hardware implementation Electronic Control systems are also: Members of the Mechatronic Systems Concurrent design (Top-down approach?) Mechanic compatibility Solve the actual

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Unit 2. Computer Control. PIC stands for PROGRAMMABLE INTERFACE CONTROLLER. A PIC chip takes in input signals and then controls output transducers

Unit 2. Computer Control. PIC stands for PROGRAMMABLE INTERFACE CONTROLLER. A PIC chip takes in input signals and then controls output transducers Unit 2 Computer Control PIC stands for PROGRAMMABLE INTERFACE CONTROLLER A PIC chip takes in input signals and then controls output transducers Name: Form: 2 ASIC or Application Specific Integrated Circuits

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor

MicroProcessor. MicroProcessor. MicroProcessor. MicroProcessor 1 2 A microprocessor is a single, very-large-scale-integration (VLSI) chip that contains many digital circuits that perform arithmetic, logic, communication, and control functions. When a microprocessor

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

LED Matrix Scrolling using ATmega32 microcontroller

LED Matrix Scrolling using ATmega32 microcontroller LED Matrix Scrolling using ATmega32 microcontroller Deepti Rawat 1, Gunjan Aggarwal 2, Dinesh Kumar Yadav 3, S.K. Mahajan 4 Department of Electronics and Communication Engineering IIMT college of Engineering,

More information

Laboratory: Introduction to Mechatronics. Instructor TA: Edgar Martinez Soberanes Lab 1.

Laboratory: Introduction to Mechatronics. Instructor TA: Edgar Martinez Soberanes Lab 1. Laboratory: Introduction to Mechatronics Instructor TA: Edgar Martinez Soberanes (eem370@mail.usask.ca) 2017-01-12 Lab 1. Introduction Lab Sessions Lab 1. Introduction to the equipment and tools to be

More information

Computer Hardware Requirements for Real-Time Applications

Computer Hardware Requirements for Real-Time Applications Lecture (4) Computer Hardware Requirements for Real-Time Applications Prof. Kasim M. Al-Aubidy Computer Engineering Department Philadelphia University Real-Time Systems, Prof. Kasim Al-Aubidy 1 Lecture

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing

EE324: Microcontrollers and Interfacing. Office Hours: Mon, Wed, to 11.30am. Microcontrollers and Interfacing EE324: Microcontrollers and Interfacing Instructor s Name: Mohammad Jahangir Ikram Office No. & Email: 9-317A jikram@lums.edu.pk Office Hours: Mon, Wed, 10.00 to 11.30am Category: Junior TA for the Course:

More information

Chapter 13 Programmable Logic Device Architectures

Chapter 13 Programmable Logic Device Architectures Chapter 13 Programmable Logic Device Architectures Chapter 13 Objectives Selected areas covered in this chapter: Describing different categories of digital system devices. Describing different types of

More information

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA SIX-MONTHS TRAINING PROGRAMME ON EMBEDDED SYSTEM, VLSI MAT LAB AND PCB DESIGN: Course syllabus: Theory: Introduction to C programming. More

More information

AVR MICROCONTROLLER PROJECT TUTORIAL E-PUB

AVR MICROCONTROLLER PROJECT TUTORIAL E-PUB 14 May, 2018 AVR MICROCONTROLLER PROJECT TUTORIAL E-PUB Document Filetype: PDF 151.29 KB 0 AVR MICROCONTROLLER PROJECT TUTORIAL E-PUB Premium source of Projects Tutorials Code Ebooks Library for Atmels

More information

Building A Custom System-On-A-Chip

Building A Custom System-On-A-Chip Building A Custom System-On-A-Chip Only a few years ago, we could only dream about building our very own custom microprocessor system on a chip. The manufacturing cost for producing a custom chip is just

More information

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) Edwin NC Mui Custom R & D Engineer Texco Enterprise Ptd. Ltd. {blackgrail2000@hotmail.com} Abstract This paper presents a

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

Microprocessor Systems

Microprocessor Systems Microprocessor Systems Welcome! Instructor: Clint Cole Office: EME59 ccole@eecs.wsu.edu Office hours: M, W, Fr 10-12 TA(s): Greidi Ajalik Lab: EME56 Times: Thursday 8AM 1:30PM. I didn t pick them. Lab

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Alexander Nelson August 22, 2018 University of Arkansas - Department of Computer Science and Computer Engineering The most profound technologies are those that disappear.

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

DESIGN OF STANDARD AND CUSTOM PERIPHERAL USING NIOS II PROCESSOR

DESIGN OF STANDARD AND CUSTOM PERIPHERAL USING NIOS II PROCESSOR DESIGN OF STANDARD AND CUSTOM PERIPHERAL USING NIOS II PROCESSOR 1 K.J.VARALAKSHMI, 2 M.KAMARAJU 1 Student, 2 Professor and HOD E-mail: Kjvaralakshmi @gmail.com, prof.mkr @gmail.com Abstract- Today, Field

More information

PICado Alpha Development Board V1.0

PICado Alpha Development Board V1.0 V1.0 Bluetooth Transceiver Module HC-05 Four onboard FET power output stage 34 freely assignable I/O pins ICSP interface 2015 Jan Ritschard, All rights reserved. V1.0 Table of Contents 1. Introduction...

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc)

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc) Software Development & Education Center Embedded Systems (Design & Development with Various µc) Module 1: Embedded C Programming INTRODUCTION TO EMBEDDED SYSTEM History & need of Embedded System Basic

More information

TUTORIAL: Adding non-traditional properties for embedded systems design Indira Jayaram, 2011

TUTORIAL: Adding non-traditional properties for embedded systems design Indira Jayaram, 2011 TUTORIAL: Adding non-traditional properties for embedded systems design Indira Jayaram, 2011 This tutorial is intended to provide a step-by-step description of the design process for the embedded systems

More information

Pic24f Family Reference Manual Section 14. Timers

Pic24f Family Reference Manual Section 14. Timers Pic24f Family Reference Manual Section 14. Timers Get Started Here 8-bit Peripheral Summary, Timers. Timers, Timer 0 (TMR0). Timer 0 For more information about the oscillator failure trap, refer to "Section

More information

Claus Kuhnel BASCOM. Programming of Microcontrollers with Ease. An Introduction by Program Examples

Claus Kuhnel BASCOM. Programming of Microcontrollers with Ease. An Introduction by Program Examples Claus Kuhnel BASCOM Programming of Microcontrollers with Ease An Introduction by Program Examples Publisher and author assume no responsibility for any errors that may arise from the use of devices and

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016 ECE 353 Lab 4 MIDI Receiver in Verilog Professor Daniel Holcomb UMass Amherst Fall 2016 Timeline and Grading for Lab 4 Lectures on 11/15 and 11/17 Due on 12/12 Demos in Duda hall Schedule will be posted

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS MAX6628MKA Rev. A RELIABILITY REPORT FOR MAX6628MKA PLASTIC ENCAPSULATED DEVICES September 30, 2003 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Written by Reviewed by Jim Pedicord

More information

None. MICROCONTROLLERS III

None. MICROCONTROLLERS III MICROCONTROLLERS III PREREQUISITES: MODULE 10: MICROCONTROLLERS II. OUTLINE OF MODULE 11: What you will learn about in this Module: Use of a much more powerful microcontroller: the PIC16F877 In-circuit

More information

Chapter 10: Design Options of Digital Systems

Chapter 10: Design Options of Digital Systems Chapter 10: Design Options of Digital Systems Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems FPGA-Based Rapid Prototyping of Digital Signal Processing Systems Kevin Banovic, Mohammed A. S. Khalid, and Esam Abdel-Raheem Presented By Kevin Banovic July 29, 2005 To be presented at the 48 th Midwest

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

PIC 28 Pin Board Documentation. Update Version 5.0

PIC 28 Pin Board Documentation. Update Version 5.0 PIC 28 Pin Board Documentation Update 2009.10 Version 5.0 Table of Contents PIC 28 Pin Board Documentation... 1 Table of Contents... 2 Introduction... 3 Circuit Schematic... 4 The following is the Circuit

More information

Microprocessors, Lecture 1: Introduction to Microprocessors

Microprocessors, Lecture 1: Introduction to Microprocessors Microprocessors, Lecture 1: Introduction to Microprocessors Computing Systems General-purpose standalone systems (سيستم ھای نھفته ( systems Embedded 2 General-purpose standalone systems Stand-alone computer

More information

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess ECEN 4633/5633 Hybrid Embedded Systems Fall 2010 Semester Dr. David Ward Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction

More information

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform.

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform. Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform www.sierraradio.net www.hamstack.com Topics Introduction Hardware options Software development HamStack project

More information

MPICds: Modular Application Development System for the Microchip s PIC Microcontroller Family

MPICds: Modular Application Development System for the Microchip s PIC Microcontroller Family Proceedings of the 4th WSEAS/IASME International Conference on Engineering Education, Agios Nikolaos, Crete Island, Greece, July 24-26, 2007 171 MPICds: Modular Application Development System for the Microchip

More information

Short Term Courses (Including Project Work)

Short Term Courses (Including Project Work) Short Term Courses (Including Project Work) Courses: 1.) Microcontrollers and Embedded C Programming (8051, PIC & ARM, includes a project on Robotics) 2.) DSP (Code Composer Studio & MATLAB, includes Embedded

More information

EE4380 Microprocessor Design Project

EE4380 Microprocessor Design Project EE4380 Microprocessor Design Project Fall 2002 Class 1 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Introduction What is a Microcontroller? Microcontroller

More information

1 Introduction to Microcontrollers

1 Introduction to Microcontrollers 1 Introduction to Microcontrollers EE445 - Microcontrollers and Embedded Systems Chapter 1: Introduction to Microcontro EE445 Microcontrollers and Emb and and Embedded Embedded Microcontrollers EE445 -

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date: EXPERIMENT # 7: VHDL and DE2 Board Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to create and modify

More information

PIC DESIGN (version 1) 2/11/2009

PIC DESIGN (version 1) 2/11/2009 PIC DESIGN (version 1) 2/11/2009 The decision to design and construct a custom microcontroller was dictated by both practical and educational reasons. Although microcontroller development boards are readily

More information

EEE394 Microprocessor and Microcontroller Laboratory Lab #6

EEE394 Microprocessor and Microcontroller Laboratory Lab #6 Exp. No #6 Date: INTERRUPTS AND ADC IN PIC MICROCONTROLLER OBJECTIVE The purpose of the experiment is to configure external interrupt and the ADC in PIC microcontrollers. (i) To flip the LED connected

More information

Mimis, K., & Kocak, T. (2011). Area efficient System-on-Programmable- Chip design for a wireless touch-triggered machining probe.

Mimis, K., & Kocak, T. (2011). Area efficient System-on-Programmable- Chip design for a wireless touch-triggered machining probe. Mimis, K., & Kocak, T. (2011). Area efficient System-on-Programmable- Chip design for a wireless touch-triggered machining probe. Peer reviewed version Link to publication record in Explore Bristol Research

More information

Learning Module 9. Managing the Sensor: Embedded Computing. Paul Flikkema. Department of Electrical Engineering Northern Arizona University

Learning Module 9. Managing the Sensor: Embedded Computing. Paul Flikkema. Department of Electrical Engineering Northern Arizona University Learning Module 9 Managing the Sensor: Embedded Computing Paul Flikkema Department of Electrical Engineering Northern Arizona University Outline Networked Embedded Systems Hardware Software Languages Operating

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM).

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Lab # 6 Serial communications & EEPROM Objectives To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Serial Communications Serial

More information

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1 CompE 270 Digital Systems - 5 Programmable Logic Ken Arnold Objective Application Specific ICs Introduce User Programmable Logic Common Architectures Programmable Array Logic Address Decoding Example Development

More information

MICROPROCESSORS B (17.384) Spring Lecture Outline

MICROPROCESSORS B (17.384) Spring Lecture Outline MICROPROCESSORS B (17.384) Spring 2012 Lecture Outline Class # 01 January 24, 2012 Dohn Bowden 1 Today s Lecture Administrative General Course Overview Microcontroller Hardware and/or Interface Programming/Software

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

EMBEDDED TRAINING IN BANGALORE

EMBEDDED TRAINING IN BANGALORE EMBEDDED TRAINING IN BANGALORE JN GLOBAL SOLUTIONS #5/3 BEML LAYOUT, VARATHUR MAIN ROAD KUNDALAHALLI GATE, BANGALORE 560066 PH: +91-9513332301/2302 WWW.GLOBALTRAININGBANGALORE.COM Our Embedded Systems

More information

This presentation will..

This presentation will.. Component Identification: Digital Introduction to Logic Gates and Integrated Circuits Digital Electronics 2014 This presentation will.. Introduce transistors, logic gates, integrated circuits (ICs), and

More information

Digital System Design

Digital System Design Digital System Design Analog time varying signals that can take on any value across a continuous range of voltage, current or other metric Digital signals are modeled with two states, 0 or 1 underneath

More information

An Introduction to Designing Ham Radio Projects with PIC Microcontrollers. George Zafiropoulos KJ6VU

An Introduction to Designing Ham Radio Projects with PIC Microcontrollers. George Zafiropoulos KJ6VU An Introduction to Designing Ham Radio Projects with PIC Microcontrollers George Zafiropoulos KJ6VU Topics Ham radio applications Microcontroller basics Hardware design examples Implementing your design

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

09/05/2014. Engaging electronics for the new D&T curriculum. Geoff Hampson Managing Director of Kitronik. Presentation overview

09/05/2014. Engaging electronics for the new D&T curriculum. Geoff Hampson Managing Director of Kitronik. Presentation overview Presentation overview Engaging electronics for the new D&T curriculum Geoff Hampson Managing Director of Kitronik What to include Free web resources Electronic project ideas Using programmable components

More information

CPLD board datasheet EB

CPLD board datasheet EB CPLD board datasheet EB020-00- Contents. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix Circuit diagram Copyright

More information

Embedded Systems. Embedded Programmer. Duration: 2 weeks Rs Language and Tools. Embedded System Introduction. Embedded C programming

Embedded Systems. Embedded Programmer. Duration: 2 weeks Rs Language and Tools. Embedded System Introduction. Embedded C programming Embedded Systems Embedded Programmer Duration: 2 weeks Rs.7000 Embedded System Introduction ü PLDs ü Microprocessors ü Signal processing and Data processing ü Micro controllers ü 8051 Microcontroller ü

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Pilot: A Platform-based HW/SW Synthesis System

Pilot: A Platform-based HW/SW Synthesis System Pilot: A Platform-based HW/SW Synthesis System SOC Group, VLSI CAD Lab, UCLA Led by Jason Cong Zhong Chen, Yiping Fan, Xun Yang, Zhiru Zhang ICSOC Workshop, Beijing August 20, 2002 Outline Overview The

More information

Linux Kernel Hacking Free Course, 3rd edition. HWMPS: Hardware Monitor & Protection System

Linux Kernel Hacking Free Course, 3rd edition. HWMPS: Hardware Monitor & Protection System Andrea Sarro University of Rome Tor Vergata HWMPS: Hardware Monitor & Protection System April 5, 2006 Outline of the talk Project overview Developement phases and practical issues Hardware platform Microcontroller

More information

AMS 5812 OEM pressure sensor with an analog and digital output

AMS 5812 OEM pressure sensor with an analog and digital output Digital signal conditioning is becoming increasingly common in sensor technology. However, some sensor system states can be monitored more easily using analog values. For redundancy and system safety reasons

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices INTRODUCTION A programmable logic device or PLD is an electronic component used to build reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD

More information

MTRX3700 Mechatronics

MTRX3700 Mechatronics MTRX3700 Mechatronics 3 2015 PIC18F452 Software Exercises David Rye You are to work in a group of two students to write, debug and demonstrate a series of small assembly language and C programs that meet

More information

Architecture of Computers and Parallel Systems Part 6: Microcomputers

Architecture of Computers and Parallel Systems Part 6: Microcomputers Architecture of Computers and Parallel Systems Part 6: Microcomputers Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

Microcontroller Basics

Microcontroller Basics Microcontroller Basics Gabe Cohn CSE 599U February 6, 2012 www.gabeacohn.com/teaching/micro Outline Overview of Embedded Systems What is a Microcontroller? Microcontroller Features Common Microcontrollers

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information