How to Synchronize a Pausible Clock to a Reference. Robert Najvirt, Andreas Steininger

Size: px
Start display at page:

Download "How to Synchronize a Pausible Clock to a Reference. Robert Najvirt, Andreas Steininger"

Transcription

1 How to Synchronize a Pausible Clock to a Reference Robert Najvirt, Andreas Steininger

2 GALS Communication The communication between two (locally) synchronous modules has inevitable potential for metastable upsets Except for smartly designed systems utilizing assumptions on the clock sources Two ways of handling metastability: Time safe vs. value safe ASYNC, Robert Najvirt 2

3 Time safe solution Allow for fixed resolution time Use result no matter what Allows to use free running clock sources A non-zero probability of failure always remains ASYNC, Robert Najvirt 3

4 Value safe solution Wait for metastability to resolve Use result when ready Allows to design circuits free of metastable upsets Requires stretching of clock period ASYNC, Robert Najvirt 4

5 Ideal solution Use free running clock sources Communicate without risk of metastable upsets Unfortunately impossible Synchronization impossibility Pausing and starting a free running clock impossibility Compromise solution: Use ring oscillator referenced with a free running clock ASYNC, Robert Najvirt 5

6 The standard pausible clock Two loops Timing loop guarantees minimum pulse widths Switching loop handles pausing and restarting by delaying a transition ASYNC, Robert Najvirt 6

7 Adding a reference to a pausible clock Calibration of the delay line (ext. reference) Calibration ASYNC, Robert Najvirt 7

8 Adding a reference to a pausible clock Our approach: Add another loop for direct synchronization ASYNC, Robert Najvirt 8

9 Analysis Pausing Behavior when being paused not affected by third loop: The mutex delays the switching loop while paused Metastability is correctly handled in a value safe manner ASYNC, Robert Najvirt 9

10 Analysis Starting First clock transition at an arbitrary phase The next aligned by synchronization loop to coincide with corresponding ones from the reference However, minimum pulse widths still dictated by timing loop Inevitable metastability of C2 handled by Schmitt-Trigger in a value safe manner ASYNC, Robert Najvirt 10

11 Analysis The missing case When, after a metastabe period, the mutex decides against a pause request Clock Pause Ack ASYNC, Robert Najvirt 11

12 Analysis The missing case When, after a metastabe period, the mutex decides against a pause request Clock Pause Ack Equivalent to two successive pause requests ASYNC, Robert Najvirt 12

13 Analysis Synchronization loop delay 1) Direct propagation Ref Clk Sync ASYNC, Robert Najvirt 13

14 Analysis Synchronization loop delay 2) Synchronized propagation Ref Clk Sync ASYNC, Robert Najvirt 14

15 Analysis Synchronization loop delay 3) Metastability Ref Clk Sync ASYNC, Robert Najvirt 15

16 Analysis The three loops together Timing loop adds lower bound Recursion to describe synchronization phase Stable fixed point Unstable fixed point ASYNC, Robert Najvirt 16

17 Observations When being paused and during resynchronization, the circuit behaves just like the standard pausible clock generator In steady state operation, its clock output is synchronous with the reference Phase of generated clock vs. reference clock unrestricted in synchronization phase, synchronization time unbounded Decoupling and other measures can make desynchronization arbitrarily improbable ASYNC, Robert Najvirt 17

18 The disadvantages Requires both a delay line and a clock source Both clock sources must be active The guaranteed minimum clock period is determined by the delay line circuit must tolerate it ASYNC, Robert Najvirt 18

19 Conclusion The circuit is Simple Value safe When stabilized generating a synchronous clock to the reference Providing the same guarantees as a standard pausible clock Thank you! ASYNC, Robert Najvirt 19

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

1 Beta extension of the 1394a PHY Link Interface Specification

1 Beta extension of the 1394a PHY Link Interface Specification 1 Beta extension of the 1394a PHY Link Interface Specification 1.1 Introduction The beta PHY- Link interface provides the ability to extend the existing 1394a interface (as currently proposed) to support

More information

Setup/Hold. Set Up time (t su ):

Setup/Hold. Set Up time (t su ): Lecture 10 Agenda Set Up time (t su ): Setup/Hold Minimum amount of time the data is to be held steady prior to the clock event Hold time (t h ): Minimum amount of time the data is to be held steady after

More information

Low Power GALS Interface Implementation with Stretchable Clocking Scheme

Low Power GALS Interface Implementation with Stretchable Clocking Scheme www.ijcsi.org 209 Low Power GALS Interface Implementation with Stretchable Clocking Scheme Anju C and Kirti S Pande Department of ECE, Amrita Vishwa Vidyapeetham, Amrita School of Engineering Bangalore,

More information

Globally Asynchronous Locally Synchronous FPGA Architectures

Globally Asynchronous Locally Synchronous FPGA Architectures Globally Asynchronous Locally Synchronous FPGA Architectures Andrew Royal and Peter Y. K. Cheung Department of Electrical & Electronic Engineering, Imperial College, London, UK {a.royal, p.cheung}@imperial.ac.uk

More information

Discussion of Failure Mode Assumptions for IEEE 802.1Qbt

Discussion of Failure Mode Assumptions for IEEE 802.1Qbt Discussion of Failure Mode Assumptions for IEEE 802.1Qbt Wilfried Steiner, Corporate Scientist wilfried.steiner@tttech.com www.tttech.com Page 1 Clock Synchronization is a core building block of many RT

More information

Evaluation of pausible clocking for interfacing high speed IP cores in GALS Framework

Evaluation of pausible clocking for interfacing high speed IP cores in GALS Framework Evaluation of pausible clocking for interfacing high speed IP cores in GA Framework Joycee Mekie upratik Chakraborty Dinesh K. harma Indian Institute of Technology, Bombay, Mumbai 400076, India jrm@ee,supratik@cse,dinesh@ee.iitb.ac.in

More information

Distributed Systems (ICE 601) Fault Tolerance

Distributed Systems (ICE 601) Fault Tolerance Distributed Systems (ICE 601) Fault Tolerance Dongman Lee ICU Introduction Failure Model Fault Tolerance Models state machine primary-backup Class Overview Introduction Dependability availability reliability

More information

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners BUS TIMING ANALYSIS George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners LEARNING OBJECTIVES identify CPU and memory timing parameters draw a bus timing diagram

More information

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas Nanosistemų programavimo kalbos 5 paskaita Sekvencinių schemų projektavimas Terminai Combinational circuit kombinacinė schema (be atminties elementų) Sequential circuit nuosekli (trigerinė, sekvencinė)

More information

EE6301 DIGITAL LOGIC CIRCUITS UNIT IV ASYNCHRONOUS SEQUENTIAL CIRCUITSAND PROGRAMMABLE LOGIC DEVICES PART A

EE6301 DIGITAL LOGIC CIRCUITS UNIT IV ASYNCHRONOUS SEQUENTIAL CIRCUITSAND PROGRAMMABLE LOGIC DEVICES PART A EE6301 DIGITAL LOGIC CIRCUITS UNIT IV ASYNCHRONOUS SEQUENTIAL CIRCUITSAND PROGRAMMABLE LOGIC DEVICES PART A 1. What is deadlock condition? [N/D 14] A condition resulting when one task is waiting to access

More information

Challenges in Verification of Clock Domain Crossings

Challenges in Verification of Clock Domain Crossings Challenges in Verification of Clock Domain Crossings Vishnu C. Vimjam and Al Joseph Real Intent Inc., Sunnyvale, CA, USA Notice of Copyright This material is protected under the copyright laws of the U.S.

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Tajana Simunic Rosing Source: Eric Crabill, Xilinx 1 More complex behavioral model module life (n0, n1, n2, n3, n4, n5, n6,

More information

Introduction to Distributed Systems Seif Haridi

Introduction to Distributed Systems Seif Haridi Introduction to Distributed Systems Seif Haridi haridi@kth.se What is a distributed system? A set of nodes, connected by a network, which appear to its users as a single coherent system p1 p2. pn send

More information

Ripple Counters. Lecture 30 1

Ripple Counters. Lecture 30 1 Ripple Counters A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some

More information

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4 Sequential Logic Digital state: the D-Register Timing constraints for D-Registers Specifying registers in Verilog Blocking and nonblocking assignments Examples Reminder: Lab #2 due Thursday 1 Use Explicit

More information

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder Chapter 6 (Lect 3) Counters Continued Unused States Ring counter Implementing with Registers Implementing with Counter and Decoder Sequential Logic and Unused States Not all states need to be used Can

More information

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Verilog FSM Examples Eric Crabill SJSU / Xilinx Fall 2007 In Real-time Object-oriented Modeling, Bran Selic and Garth Gullekson view a state machine as: A set of input events A set of output

More information

Reference. Menu Overview. Functions Common to Generator (TX) and Analyzer (RX) AC Power. Selecting 115 VAC or 230 VAC Operation

Reference. Menu Overview. Functions Common to Generator (TX) and Analyzer (RX) AC Power. Selecting 115 VAC or 230 VAC Operation Menu Overview A wide range of "auxiliary" setup functions is provided in the GB1400 Generator and Analyzer Menu systems. To enter the Generator or Analyzer Menu system, simply press the instrument's F1

More information

16 Time Triggered Protocol

16 Time Triggered Protocol 16 Time Triggered Protocol [TTtech04] (TTP) 18-549 Distributed Embedded Systems Philip Koopman October 25, 2004 Significant material drawn from: Prof. H. Kopetz [Kopetz] TTP Specification v 1.1 [TTTech]

More information

DS28CM00. I²C/SMBus Silicon Serial Number

DS28CM00. I²C/SMBus Silicon Serial Number DS28CM00 I²C/SMBus Silicon Serial Number www.maxim-ic.com GENERAL DESCRIPTION The DS28CM00 is a low-cost, electronic registration number to provide an absolutely unique identity that can be determined

More information

CS/EE Homework 7 Solutions

CS/EE Homework 7 Solutions CS/EE 260 - Homework 7 Solutions 4/2/2001 1. (20 points) A 4 bit twisted ring counter is a sequential circuit which produces the following sequence of output values: 0000, 1000, 1100, 1110, 1111, 0111,

More information

LUPO TimeStamp Module (Ver. 1.2) Hidetada Baba

LUPO TimeStamp Module (Ver. 1.2) Hidetada Baba LUPO TimeStamp Module (Ver. 1.2) Hidetada Baba November 28, 2009 1 1 General 1 General 1.1 Function This module is a CAMAC/VME LUPO module which including the functions of Time stamp, Output register and

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) uiz - Spring 2004 Prof. Anantha Chandrakasan Student Name: Problem

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

ZMID520x Technical Brief One Wire Interface (OWI) Contents. List of Figures. List of Tables

ZMID520x Technical Brief One Wire Interface (OWI) Contents. List of Figures. List of Tables ZMID520x Technical Brief One Wire Interface () Contents 1. Introduction...2 2. One Wire Interface...2 2.1 Overview...2 2.2 General Data Transfer...3 2.3 Protocol Details...3 2.3.1 Bit Transfer...3 2.3.2

More information

Microcomputer System Design

Microcomputer System Design Microcomputer System Design COE305 Lab. What is a Microprocessor? A microprocessor is a multipurpose, clockdriven, register-based electronic device that reads binary instructions from a storage device

More information

DigiView DV3500 Logic Analyzer

DigiView DV3500 Logic Analyzer DigiView DV3500 Logic Analyzer 250/500 Msps, 36/18 Channel Logic Analyzer with Protocol Decoding and Hardware Compression Product Summary: New Model: Fanless operation, lower power consumption, double

More information

IN THE PAST, high-speed operation and minimum silicon. GALDS: A Complete Framework for Designing Multiclock ASICs and SoCs

IN THE PAST, high-speed operation and minimum silicon. GALDS: A Complete Framework for Designing Multiclock ASICs and SoCs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 6, JUNE 2005 641 GALDS: A Complete Framework for Designing Multiclock ASICs and SoCs Atanu Chattopadhyay and Zeljko Zilic,

More information

Eliminating Nondeterminism to Enable Chip-Level Test of Globally-Asynchronous Locally-Synchronous SoC s

Eliminating Nondeterminism to Enable Chip-Level Test of Globally-Asynchronous Locally-Synchronous SoC s Eliminating Nondeterminism to Enable Chip-Level Test of Globally-Asynchronous Locally-Synchronous SoC s Matthew Heath, Wayne Burleson, University of Massachusetts Amherst Ian Harris, University of California

More information

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS TECHNOLOGY BRIEF June 2002 Compaq Computer Corporation Prepared by ISS Technology Communications C ONTENTS Executive Summary 1 Notice 2 Introduction 3 SDRAM Operation 3 How CAS Latency Affects System Performance

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Globally-asynchronous, Locally-synchronous Wrapper Configurations For

Globally-asynchronous, Locally-synchronous Wrapper Configurations For University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Globally-asynchronous, Locally-synchronous Wrapper Configurations For 2004 Akarsh Ravi University of Central

More information

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras Modeling Synchronous Logic Circuits Debdeep Mukhopadhyay IIT Madras Basic Sequential Circuits A combinational circuit produces output solely depending on the current input. But a sequential circuit remembers

More information

Fault Tolerance. Distributed Software Systems. Definitions

Fault Tolerance. Distributed Software Systems. Definitions Fault Tolerance Distributed Software Systems Definitions Availability: probability the system operates correctly at any given moment Reliability: ability to run correctly for a long interval of time Safety:

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers L14 Memory 1 General Table Lookup Synthesis

More information

Metastability-Containing Circuits

Metastability-Containing Circuits etastability-containing Circuits Stephan Friedrichs, atthias Függer, Christoph Lenzen Abstract In digital circuits, metastability can cause deteriorated signals that neither are logical nor logical, breaking

More information

DS1814/DS1819 5V and 3.3V MicroMonitor

DS1814/DS1819 5V and 3.3V MicroMonitor 5V and 3.3V MicroMonitor www.maxim-ic.com FEATURES Halts and restarts an out-of-control microprocessor Holds microprocessor in check during power transients Automatically restarts microprocessor after

More information

Chapter 1. Introduction

Chapter 1. Introduction Chapter 1 Introduction In a packet-switched network, packets are buffered when they cannot be processed or transmitted at the rate they arrive. There are three main reasons that a router, with generic

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

Metastability-Aware Memory-Efficient Time-to-Digital Converters

Metastability-Aware Memory-Efficient Time-to-Digital Converters etastability-aware emory-fficient Time-to-Digital Converters atthias Függer LSV, CNRS & NS Paris-Saclay mfuegger@lsv.fr Attila Kinali Christoph Lenzen ax Planck Institute for Informatics, Saarbrücken {adogan,clenzen}@mpi-inf.mpg.de

More information

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines Lecture 3 Behavioral Modeling Sequential Circuits Registers Counters Finite State Machines Behavioral Modeling Behavioral Modeling Behavioral descriptions use the keyword always, followed by optional event

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

The Timed Asynchronous Distributed System Model By Flaviu Cristian and Christof Fetzer

The Timed Asynchronous Distributed System Model By Flaviu Cristian and Christof Fetzer The Timed Asynchronous Distributed System Model By Flaviu Cristian and Christof Fetzer - proposes a formal definition for the timed asynchronous distributed system model - presents measurements of process

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Using the Agilent Technologies Series Logic Analysis System with the Xilinx ChipScope ILA

Using the Agilent Technologies Series Logic Analysis System with the Xilinx ChipScope ILA Using the Agilent Technologies 16700 Series Logic Analysis System with the Xilinx ChipScope ILA Product Note This product note describes the ability to combine internal and external logic analysis traces

More information

CMPE 415 Verilog Case-Statement Based State Machines I

CMPE 415 Verilog Case-Statement Based State Machines I Department of Computer Science and Electrical Engineering CMPE 415 Verilog Case-Statement Based State Machines I Prof Ryan Robucci Basic State Machines Mealy Machine a/q0 c/q3 a/q0 a,b,c/q4 S0 S1 S2 b/q2

More information

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EE 231 Fall EE 231 Homework 8 Due October 20, 2010 EE 231 Homework 8 Due October 20, 20 1. Consider the circuit below. It has three inputs (x and clock), and one output (z). At reset, the circuit starts with the outputs of all flip-flops at 0. x z J Q

More information

5.14 Algorithmic State Machine (ASM) Charts

5.14 Algorithmic State Machine (ASM) Charts 5.4 Algorithmic State Machine (ASM) Charts An ASM chart is an alternative method for describing a state machine More directly shows the sequential steps of a state machine. Easier to understand input priority

More information

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Note: Closed book no notes or other material allowed, no calculators or other electronic devices. ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Exam Review Note: Closed book no notes or other material allowed, no calculators or other electronic devices. One page

More information

A Minimal Source-Synchronous Interface

A Minimal Source-Synchronous Interface Minimal Source-Synchronous Interface janta hakraborty and Mark. Greenstreet Department of omputer Science University of ritish olumbia Vancouver,, anada chakra,mrg @cs.ubc.ca Domain 1 Domain 2 data Domain

More information

System Design Guide for Slave

System Design Guide for Slave System Design Guide for Slave Motor Business Unit Appliances Company 2012/2/15 Rev. 2 Page 1 Revision History Revision Date Change Description 1 2010/3/3 Initial Release 2 2012/2/15 P1 Changed title from

More information

Improving Auto-Negotiation Efficiency Next Page Extension. Pat Thaler September 2004

Improving Auto-Negotiation Efficiency Next Page Extension. Pat Thaler September 2004 Improving Auto-Negotiation Efficiency Next Page Extension Pat Thaler Problem Statement Clause 28 auto-negotiation was created at a time when only a few ability bits had to be transferred. Usually a single

More information

TCP and BBR. Geoff Huston APNIC

TCP and BBR. Geoff Huston APNIC TCP and BBR Geoff Huston APNIC Computer Networking is all about moving data The way in which data movement is controlled is a key characteristic of the network architecture The Internet protocol passed

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics B7 PLL digital applications» PSK demodulation» Clock resynchronization» Clock/data recovery (CDR) Clock synchronization Clock multipliers

More information

CSE 486/586 Distributed Systems

CSE 486/586 Distributed Systems CSE 486/586 Distributed Systems Failure Detectors Slides by: Steve Ko Computer Sciences and Engineering University at Buffalo Administrivia Programming Assignment 2 is out Please continue to monitor Piazza

More information

2017 Paul Krzyzanowski 1

2017 Paul Krzyzanowski 1 Question 1 What problem can arise with a system that exhibits fail-restart behavior? Distributed Systems 06. Exam 1 Review Stale state: the system has an outdated view of the world when it starts up. Not:

More information

Distributed Systems Leader election & Failure detection

Distributed Systems Leader election & Failure detection Distributed Systems Leader election & Failure detection He Sun School of Informatics University of Edinburgh Leader of a computation Many distributed computations need a coordinator of server processors

More information

Chapter 1 Introducing the OM-USB-1608FS-Plus... 6 Functional block diagram... 6

Chapter 1 Introducing the OM-USB-1608FS-Plus... 6 Functional block diagram... 6 Table of Contents Preface About this User's Guide... 5 What you will learn from this user's guide... 5 Conventions in this user's guide... 5 Where to find more information... 5 Chapter 1 Introducing the

More information

Distributed Systems Exam 1 Review. Paul Krzyzanowski. Rutgers University. Fall 2016

Distributed Systems Exam 1 Review. Paul Krzyzanowski. Rutgers University. Fall 2016 Distributed Systems 2016 Exam 1 Review Paul Krzyzanowski Rutgers University Fall 2016 Question 1 Why does it not make sense to use TCP (Transmission Control Protocol) for the Network Time Protocol (NTP)?

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

UNIT:2. Process Management

UNIT:2. Process Management 1 UNIT:2 Process Management SYLLABUS 2.1 Process and Process management i. Process model overview ii. Programmers view of process iii. Process states 2.2 Process and Processor Scheduling i Scheduling Criteria

More information

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC MicroManager www.dalsemi.com FEATURES Holds microprocessor in check during power transients Halts and restarts an out-of-control microprocessor Warns microprocessor of an impending power failure Converts

More information

Sequential Logic. Use Explicit Port Declarations. Verilog Summary. Examples

Sequential Logic. Use Explicit Port Declarations. Verilog Summary. Examples Use Explicit Port eclarations module mux32two (input [31:0] i0,i1, input sel, output [31:0] out); assign out = sel? i1 : i0; module Sequential igital state: the -Register Timing constraints for -Registers

More information

10GBase-T T Active / Low-Power Idle Toggling. Gavin Parnaby George Zimmerman

10GBase-T T Active / Low-Power Idle Toggling. Gavin Parnaby George Zimmerman 10GBase-T T Active / Low-Power Idle Toggling George Zimmerman 1 Supporters Robert Hays (Intel) Brad Booth (AMCC) Brian Murray (LSI Logic) Adam Healey (LSI Logic) Dan Dove (ProCurve Networking by HP) George

More information

Some Examples of Conflicts. Transactional Concurrency Control. Serializable Schedules. Transactions: ACID Properties. Isolation and Serializability

Some Examples of Conflicts. Transactional Concurrency Control. Serializable Schedules. Transactions: ACID Properties. Isolation and Serializability ome Examples of onflicts ransactional oncurrency ontrol conflict exists when two transactions access the same item, and at least one of the accesses is a write. 1. lost update problem : transfer $100 from

More information

Dependable Computer Systems

Dependable Computer Systems Dependable Computer Systems Part 6b: System Aspects Contents Synchronous vs. Asynchronous Systems Consensus Fault-tolerance by self-stabilization Examples Time-Triggered Ethernet (FT Clock Synchronization)

More information

System Models 2. Lecture - System Models 2 1. Areas for Discussion. Introduction. Introduction. System Models. The Modelling Process - General

System Models 2. Lecture - System Models 2 1. Areas for Discussion. Introduction. Introduction. System Models. The Modelling Process - General Areas for Discussion System Models 2 Joseph Spring School of Computer Science MCOM0083 - Distributed Systems and Security Lecture - System Models 2 1 Architectural Models Software Layers System Architecture

More information

Pipelining. Handouts: Lecture Slides Reminder: Quiz 2 tomorrow! It s that time of the semester again First trip to the laundry

Pipelining. Handouts: Lecture Slides Reminder: Quiz 2 tomorrow! It s that time of the semester again First trip to the laundry Pipelining It s that time of the semester again First trip to the laundry Handouts: Lecture Slides Reminder: Quiz 2 tomorrow! L09 - Pipelining 1 Forget 6.004 lets solve a Real Problem INPUT: dirty laundry

More information

Pipelining. Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. what Seymour Cray taught the laundry industry

Pipelining. Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. what Seymour Cray taught the laundry industry Pipelining what Seymour Cray taught the laundry industry Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. L09 - Pipelining 1 Forget 6.004 lets solve a Real Problem

More information

CCD Black-and-White Video Camera Module

CCD Black-and-White Video Camera Module A-BW-00- () CCD Black-and-White Video Camera Module Technical Manual XC-HR50 00 Sony Corporation Table of Contents Overview Mode Settings Specifications Appendix Features... System Components... Connection

More information

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } }

1-D Time-Domain Convolution. for (i=0; i < outputsize; i++) { y[i] = 0; for (j=0; j < kernelsize; j++) { y[i] += x[i - j] * h[j]; } } Introduction: Convolution is a common operation in digital signal processing. In this project, you will be creating a custom circuit implemented on the Nallatech board that exploits a significant amount

More information

DISTRIBUTED SYSTEMS Principles and Paradigms Second Edition ANDREW S. TANENBAUM MAARTEN VAN STEEN. Chapter 1. Introduction

DISTRIBUTED SYSTEMS Principles and Paradigms Second Edition ANDREW S. TANENBAUM MAARTEN VAN STEEN. Chapter 1. Introduction DISTRIBUTED SYSTEMS Principles and Paradigms Second Edition ANDREW S. TANENBAUM MAARTEN VAN STEEN Chapter 1 Introduction Modified by: Dr. Ramzi Saifan Definition of a Distributed System (1) A distributed

More information

AS SILICON technology continues to make rapid progress,

AS SILICON technology continues to make rapid progress, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 10, OCTOBER 2006 1063 High Rate Data Synchronization in GALS SoCs Rostislav (Reuven) Dobkin, Ran Ginosar, and Christos P.

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

JetMove 2xx. Version update from V to V We automate your success.

JetMove 2xx. Version update from V to V We automate your success. Version update from V. 2.15 to V. 2.16 We automate your success. Introduction Revision 1.01 August 2017 / Printed in Germany This document has been compiled by Jetter AG with due diligence, and based on

More information

C 1. Recap. CSE 486/586 Distributed Systems Failure Detectors. Today s Question. Two Different System Models. Why, What, and How.

C 1. Recap. CSE 486/586 Distributed Systems Failure Detectors. Today s Question. Two Different System Models. Why, What, and How. Recap Best Practices Distributed Systems Failure Detectors Steve Ko Computer Sciences and Engineering University at Buffalo 2 Today s Question Two Different System Models How do we handle failures? Cannot

More information

Wed. Sept 20 Announcements

Wed. Sept 20 Announcements Wed. Sept 20 Announcements HW/Lab 5 Posted Lab 5 is done in pairs HW is probably more intensive than the lab. Module 1 Clicker Quiz Friday All module 1 fair game. Focus on stuff not yet quizzed on. [2.A]-1

More information

Chapter 1 Introducing the OM-USB Functional block diagram... 5

Chapter 1 Introducing the OM-USB Functional block diagram... 5 Table of Contents Preface About this User's Guide... 4 What you will learn from this user's guide... 4 Conventions in this user's guide... 4 Where to find more information... 4 Chapter 1 Introducing the

More information

Timing and Verification

Timing and Verification Timing and Verification Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Lab Part. Bernhard Frömel /34. Institut für Technische Informatik Technische Universität Wien Deterministic Networking VU SS14

Lab Part. Bernhard Frömel /34. Institut für Technische Informatik Technische Universität Wien Deterministic Networking VU SS14 1/34 Bernhard Institut für Technische Informatik Technische Universität Wien - 182.730 VU SS14 23. 05. 2014 2/34 Motivation Emergence Self- Organization E versus SO Part I Emergence and Self-Organization

More information

Local Stabilizer. Yehuda Afek y Shlomi Dolev z. Abstract. A local stabilizer protocol that takes any on-line or o-line distributed algorithm and

Local Stabilizer. Yehuda Afek y Shlomi Dolev z. Abstract. A local stabilizer protocol that takes any on-line or o-line distributed algorithm and Local Stabilizer Yehuda Afek y Shlomi Dolev z Abstract A local stabilizer protocol that takes any on-line or o-line distributed algorithm and converts it into a synchronous self-stabilizing algorithm with

More information

Simulation-Based Fault Injection as a Verification Oracle for the Engineering of Time-Triggered Ethernet networks

Simulation-Based Fault Injection as a Verification Oracle for the Engineering of Time-Triggered Ethernet networks Simulation-Based Fault Injection as a Verification Oracle for the Engineering of Time-Triggered Ethernet networks Loïc FEJOZ, RealTime-at-Work (RTaW) Bruno REGNIER, CNES Philippe, MIRAMONT, CNES Nicolas

More information

WAITX: An Arbiter for Non-Persistent Signals

WAITX: An Arbiter for Non-Persistent Signals WAITX: An Arbiter for Non-Persistent Signals Victor Khomenko, Danil Sokolov, Andrey Mokhov, Alex Yakovlev {Victor.Khomenko, Danil.Sokolov, Andrey.Mokhov, Alex.Yakovlev}@ncl.ac.uk Newcastle University,

More information

Ad hoc and Sensor Networks Time Synchronization

Ad hoc and Sensor Networks Time Synchronization Ad hoc and Sensor Networks Time Synchronization Goals of this chaper Understand the importance of time synchronization in WSNs Understand typical strategies for time synchronization and how they are applied

More information

Distributed Synchronization. EECS 591 Farnam Jahanian University of Michigan

Distributed Synchronization. EECS 591 Farnam Jahanian University of Michigan Distributed Synchronization EECS 591 Farnam Jahanian University of Michigan Reading List Tanenbaum Chapter 5.1, 5.4 and 5.5 Clock Synchronization Distributed Election Mutual Exclusion Clock Synchronization

More information

C 1. Today s Question. CSE 486/586 Distributed Systems Failure Detectors. Two Different System Models. Failure Model. Why, What, and How

C 1. Today s Question. CSE 486/586 Distributed Systems Failure Detectors. Two Different System Models. Failure Model. Why, What, and How CSE 486/586 Distributed Systems Failure Detectors Today s Question I have a feeling that something went wrong Steve Ko Computer Sciences and Engineering University at Buffalo zzz You ll learn new terminologies,

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

Fault Tolerance via the State Machine Replication Approach. Favian Contreras

Fault Tolerance via the State Machine Replication Approach. Favian Contreras Fault Tolerance via the State Machine Replication Approach Favian Contreras Implementing Fault-Tolerant Services Using the State Machine Approach: A Tutorial Written by Fred Schneider Why a Tutorial? The

More information

State Machine Descriptions

State Machine Descriptions State Machine Descriptions Can be modelled using many different coding styles Style guidelines available for Moore or Mealy type machines Several encoding schemes for state variables used in FSM descriptions

More information

Coordination Principles

Coordination Principles Coordination Principles 8/12/07 These principles concern how autonomous entities work together toward a common result. A coordination system is a set of agents interacting within a finite or infinite game

More information

cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board

cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board cpci6u-24dsi32r 32-Channel 24-Bit Delta-Sigma Analog Input Board FEATURES: 32 Differential 24-Bit Analog Input Channels Delta-Sigma Converter per Channel, with Linear Phase Digital Antialias Filtering

More information

Estimation of worst case latency of periodic tasks in a real time distributed environment

Estimation of worst case latency of periodic tasks in a real time distributed environment Estimation of worst case latency of periodic tasks in a real time distributed environment 1 RAMESH BABU NIMMATOORI, 2 Dr. VINAY BABU A, 3 SRILATHA C * 1 Research Scholar, Department of CSE, JNTUH, Hyderabad,

More information

Evaluation Board for Transducer ADC EVAL-AD7730EB

Evaluation Board for Transducer ADC EVAL-AD7730EB a FEATURES Operates from a Single +5V Supply On-Board Reference and Digital Buffers Various Linking Options Direct Hook-Up to Printer Port of PC PC Software for Control and Data Analysis INTRODUCTION This

More information

Introduction to Real-Time Communications. Real-Time and Embedded Systems (M) Lecture 15

Introduction to Real-Time Communications. Real-Time and Embedded Systems (M) Lecture 15 Introduction to Real-Time Communications Real-Time and Embedded Systems (M) Lecture 15 Lecture Outline Modelling real-time communications Traffic and network models Properties of networks Throughput, delay

More information