Design Tools for HPC SoC Challenges, Opportunities, or Business as Usual?

Size: px
Start display at page:

Download "Design Tools for HPC SoC Challenges, Opportunities, or Business as Usual?"

Transcription

1 Design Tools for HPC SoC Challenges, Opportunities, or Business as Usual? X. Sharon Hu Department of Science and Engineering University of Notre Dame

2 To SoC, or not to SoC If HPC does not adopt SoC design, what are the alternatives? If HPC adopts SoC design, should there be one or two or more SoCs? Cost vs. efficiency What IPs should go into the SoC(s)? Electronic Design Automation (EDA) Tools 2

3 SoC Design for Embedded Systems IP reuse, SoC design and integration started close to 3 years ago Myriad of tools available Commercial tools: Carbon Design Systems (Model Studio, SoC Design Plus, CPAK) Sonics (SonicsStudio Director) Synopsys (System Studio, Platform Architect) Cadence (System Development Suite) Open source or academic tools: Ptolemy II Baya (edautils) Verilator 3

4 ES SoC Design Methdologies Top-Down Design Platform-Based Design Functional Specification System function System Platform System Level Design Mapping Hardware Design Software Design Architectural Analysis/Refinement Co-Verification Integration Implementation 4

5 Metrics: quantifiable Design Space Exploration Performance (especially real-time) Energy Resiliency (lifetime, soft error tolerance, ) System-level design as multi-attribute optimization: Pareto-optimal solutions User-preference guided search 5

6 Design Space Exploration System-level design as multi-attribute optimization: Given design x i (i=1, N) with attributes a i (=1, M) Find the best design based on user s preference captured by an imprecise value function V w V Weight w i are implicitly constrained by user s preferences, i.e., if user prefers x i over x j, we have w ( V ( a ) V ( a )) Comparing alternative designs becomes min s. t. w ( V ( a w ( V ( a h i i ) V ( a ) V ( a i j )) )) j i for all i ( a i for all i and j ) 6

7 ES Design v.s. HPCS Design (1) Similarities Ever increasing hardware capability: multi-core, multithread, complex communication fabrics, memory hierarchy, Increasing productivity gap Common concerns: latency, throughput, energy, cost, reliability, fault tolerance, 7

8 ES Design v.s. HPCS Design (2) Differences (maybe) Application specific worloads v.s. domain specific worloads Miniapps can be a great asset for addressing this aspect More or less heterogeneity Constraints, objectives, desirables? Latency, throughput, energy, cost, reliability, fault tolerance, IP protection/privacy, T to M, Other issues: problem size, level of complexity, user expertise, Facing similar issues even today 8

9 Which Platform Is Better? i3+75 i7+75 i3+75 i7+75 i3+75 i STC SMA DA i3+75 i7+75 i3+75 i7+75 i3+75 i7+75 Time: sec Energy: joule STC SMA DA

10 Which Platform is Better? Unit: Joule*sec i3+75 i7+75 i3+75 i7+75 i3+75 i7+75 STC SMA DA 1

11 Time: sec Which Partition to Use? DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 Energy: joule i3+75 i7+75 DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 DP CP1 CP2 i3+75 i

12 What Runtime Strategy to Use? CG: speedup GHZ 2.6GHZ 3.2GHZ 3.5GHZ 3.8GHZ DA: speedup GHZ 2.6GHZ 3.2GHZ 3.5GHZ 3.8GHZ 12

13 Runtime Reliability-Aware Load Balancing Random Temperature-Aware Energy-Aware Reliability-Aware Normalized System MTTF Benchmar ~14-28% improvements 13

14 SoC Design Tools for HPC Modeling Multi-scale, multi-abstraction-level More sophisticated system SW models Simulation and analysis Miniapps Methods to aggregate results for different applications Memory and communication analysis and design Design space exploration Multiple-attribute optimization Runtime resource management Tradeoffs between cost and benefit 14

15 Than you! Comments? 15

QoS Trade-off Analysis for Wireless Sensor Networks

QoS Trade-off Analysis for Wireless Sensor Networks QoS Trade-off Analysis for Wireless Sensor Networks Rob Hoes, Twan Basten Joint work with Phillip Stanley-Marbell, Marc Geilen, Chen Kong Tham, Henk Corporaal Department of Electrical Engineering Electronic

More information

On-Chip Communications

On-Chip Communications On-Chip Communications Somayyeh Koohi Department of Computer Engineering Sharif University of Technology 1 Introduction Adapted with modifications from lecture notes prepared by S.Pasricha and N.Dutt Outline

More information

Introduction to GPU computing

Introduction to GPU computing Introduction to GPU computing Nagasaki Advanced Computing Center Nagasaki, Japan The GPU evolution The Graphic Processing Unit (GPU) is a processor that was specialized for processing graphics. The GPU

More information

Internet of Things 2018/2019

Internet of Things 2018/2019 Internet of Things 2018/2019 Resource Management for Dependable IoT Tanir Ozcelebi John Carpenter, 1982 1 What does resource management entail? Guiding questions What are the components of dependability?

More information

A large cluster architecture with Efficient Caching Coherency, Intelligent Management, and High Performance for a Low-Cost storage node

A large cluster architecture with Efficient Caching Coherency, Intelligent Management, and High Performance for a Low-Cost storage node A large cluster architecture with Efficient Caching Coherency, Intelligent Management, and High Performance for a Low-Cost storage node Dr. M. K. Jibbe, Technical Director, NetApp Dean Lang DEV Architect,

More information

RAIDIX Data Storage Solution. Clustered Data Storage Based on the RAIDIX Software and GPFS File System

RAIDIX Data Storage Solution. Clustered Data Storage Based on the RAIDIX Software and GPFS File System RAIDIX Data Storage Solution Clustered Data Storage Based on the RAIDIX Software and GPFS File System 2017 Contents Synopsis... 2 Introduction... 3 Challenges and the Solution... 4 Solution Architecture...

More information

Computer Aided Engineering with Today's Multicore, InfiniBand-Based Clusters ANSYS, Inc. All rights reserved. 1 ANSYS, Inc.

Computer Aided Engineering with Today's Multicore, InfiniBand-Based Clusters ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Computer Aided Engineering with Today's Multicore, InfiniBand-Based Clusters 2006 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary Our Business Simulation Driven Product Development Deliver superior

More information

Leveraging Flash in HPC Systems

Leveraging Flash in HPC Systems Leveraging Flash in HPC Systems IEEE MSST June 3, 2015 This work was performed under the auspices of the U.S. Department of Energy by under Contract DE-AC52-07NA27344. Lawrence Livermore National Security,

More information

Charm++ for Productivity and Performance

Charm++ for Productivity and Performance Charm++ for Productivity and Performance A Submission to the 2011 HPC Class II Challenge Laxmikant V. Kale Anshu Arya Abhinav Bhatele Abhishek Gupta Nikhil Jain Pritish Jetley Jonathan Lifflander Phil

More information

Software Testing 2. OOD and Testability. White box vs Black box Testing. Software Testing 2 Semester 1, 2006

Software Testing 2. OOD and Testability. White box vs Black box Testing. Software Testing 2 Semester 1, 2006 Software Testing 2 Jens Dietrich OOD and Testability Component based design and component based unit testing. Design that isolates component that are difficult to test (automatically) (such as user interfaces).

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Box s 1 minute Bio l B. Eng (AE 1983): Khon Kean University

Box s 1 minute Bio l B. Eng (AE 1983): Khon Kean University CSC469/585: Winter 2011-12 High Availability and Performance Computing: Towards non-stop services in HPC/HEC/Enterprise IT Environments Chokchai (Box) Leangsuksun, Associate Professor, Computer Science

More information

The 7 deadly sins of cloud computing [2] Cloud-scale resource management [1]

The 7 deadly sins of cloud computing [2] Cloud-scale resource management [1] The 7 deadly sins of [2] Cloud-scale resource management [1] University of California, Santa Cruz May 20, 2013 1 / 14 Deadly sins of of sin (n.) - common simplification or shortcut employed by ers; may

More information

CPU-GPU Heterogeneous Computing

CPU-GPU Heterogeneous Computing CPU-GPU Heterogeneous Computing Advanced Seminar "Computer Engineering Winter-Term 2015/16 Steffen Lammel 1 Content Introduction Motivation Characteristics of CPUs and GPUs Heterogeneous Computing Systems

More information

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient The Need for Speed: Understanding design factors that make multicore parallel simulations efficient Shobana Sudhakar Design & Verification Technology Mentor Graphics Wilsonville, OR shobana_sudhakar@mentor.com

More information

HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE

HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE Haibo Xie, Ph.D. Chief HSA Evangelist AMD China OUTLINE: The Challenges with Computing Today Introducing Heterogeneous System Architecture (HSA)

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

RapidIO.org Update. Mar RapidIO.org 1

RapidIO.org Update. Mar RapidIO.org 1 RapidIO.org Update rickoco@rapidio.org Mar 2015 2015 RapidIO.org 1 Outline RapidIO Overview & Markets Data Center & HPC Communications Infrastructure Industrial Automation Military & Aerospace RapidIO.org

More information

Performance and Power Co-Design of Exascale Systems and Applications

Performance and Power Co-Design of Exascale Systems and Applications Performance and Power Co-Design of Exascale Systems and Applications Adolfy Hoisie Work with Kevin Barker, Darren Kerbyson, Abhinav Vishnu Performance and Architecture Lab (PAL) Pacific Northwest National

More information

Verification Planning to Functional Closure of Processor-Based SoCs 1 CADENCE DESIGN SYSTEMS, INC.

Verification Planning to Functional Closure of Processor-Based SoCs 1 CADENCE DESIGN SYSTEMS, INC. Verification Planning to Functional Closure of Processor-Based SoCs February 2006 DesignCon 2006 Andrew Piziali 1 CADENCE DESIGN SYSTEMS, INC. Overview Introduction The Design Under Verification Verification

More information

ECE 8823: GPU Architectures. Objectives

ECE 8823: GPU Architectures. Objectives ECE 8823: GPU Architectures Introduction 1 Objectives Distinguishing features of GPUs vs. CPUs Major drivers in the evolution of general purpose GPUs (GPGPUs) 2 1 Chapter 1 Chapter 2: 2.2, 2.3 Reading

More information

CSE 124: QUANTIFYING PERFORMANCE AT SCALE AND COURSE REVIEW. George Porter December 6, 2017

CSE 124: QUANTIFYING PERFORMANCE AT SCALE AND COURSE REVIEW. George Porter December 6, 2017 CSE 124: QUANTIFYING PERFORMANCE AT SCALE AND COURSE REVIEW George Porter December 6, 2017 ATTRIBUTION These slides are released under an Attribution-NonCommercial-ShareAlike 3.0 Unported (CC BY-NC-SA

More information

From the latency to the throughput age. Prof. Jesús Labarta Director Computer Science Dept (BSC) UPC

From the latency to the throughput age. Prof. Jesús Labarta Director Computer Science Dept (BSC) UPC From the latency to the throughput age Prof. Jesús Labarta Director Computer Science Dept (BSC) UPC ETP4HPC Post-H2020 HPC Vision Frankfurt, June 24 th 2018 To exascale... and beyond 2 Vision The multicore

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

Performance of computer systems

Performance of computer systems Performance of computer systems Many different factors among which: Technology Raw speed of the circuits (clock, switching time) Process technology (how many transistors on a chip) Organization What type

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Experience the GRID Today with Oracle9i RAC

Experience the GRID Today with Oracle9i RAC 1 Experience the GRID Today with Oracle9i RAC Shig Hiura Pre-Sales Engineer Shig_Hiura@etagon.com 2 Agenda Introduction What is the Grid The Database Grid Oracle9i RAC Technology 10g vs. 9iR2 Comparison

More information

The End of Redundancy. Alan Wood Sun Microsystems May 8, 2009

The End of Redundancy. Alan Wood Sun Microsystems May 8, 2009 The End of Redundancy Alan Wood Sun Microsystems May 8, 2009 Growing Demand, Shrinking Resources By 2008, 50% of current data centers will have insufficient power and cooling capacity to meet the demands

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

SSS: An Implementation of Key-value Store based MapReduce Framework. Hirotaka Ogawa (AIST, Japan) Hidemoto Nakada Ryousei Takano Tomohiro Kudoh

SSS: An Implementation of Key-value Store based MapReduce Framework. Hirotaka Ogawa (AIST, Japan) Hidemoto Nakada Ryousei Takano Tomohiro Kudoh SSS: An Implementation of Key-value Store based MapReduce Framework Hirotaka Ogawa (AIST, Japan) Hidemoto Nakada Ryousei Takano Tomohiro Kudoh MapReduce A promising programming tool for implementing largescale

More information

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden High Level Synthesis with Catapult MOJTABA MAHDAVI 1 Outline High Level Synthesis HLS Design Flow in Catapult Data Types Project Creation Design Setup Data Flow Analysis Resource Allocation Scheduling

More information

Multithreaded Processors. Department of Electrical Engineering Stanford University

Multithreaded Processors. Department of Electrical Engineering Stanford University Lecture 12: Multithreaded Processors Department of Electrical Engineering Stanford University http://eeclass.stanford.edu/ee382a Lecture 12-1 The Big Picture Previous lectures: Core design for single-thread

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Solving the System-Level Design Riddle. October 2014

Solving the System-Level Design Riddle. October 2014 Solving the System-Level Design Riddle What is the System Design Riddle? With no errors? Then marketing says they need it How do complete my design ontime? Onbudget? Sooner With higher performance n less

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Register and Thread Structure Optimization for GPUs Yun (Eric) Liang, Zheng Cui, Kyle Rupnow, Deming Chen

Register and Thread Structure Optimization for GPUs Yun (Eric) Liang, Zheng Cui, Kyle Rupnow, Deming Chen Register and Thread Structure Optimization for GPUs Yun (Eric) Liang, Zheng Cui, Kyle Rupnow, Deming Chen Peking University, China Advanced Digital Science Center, Singapore University of Illinois at Urbana

More information

The Stratosphere Platform for Big Data Analytics

The Stratosphere Platform for Big Data Analytics The Stratosphere Platform for Big Data Analytics Hongyao Ma Franco Solleza April 20, 2015 Stratosphere Stratosphere Stratosphere Big Data Analytics BIG Data Heterogeneous datasets: structured / unstructured

More information

A Layered Protocol Architecture for Scalable Innovation and Identification of Network Economic Synergies in the Internet of Things

A Layered Protocol Architecture for Scalable Innovation and Identification of Network Economic Synergies in the Internet of Things A Layered Protocol Architecture for Scalable Innovation and Identification of Network Economic Synergies in the Internet of Things Tilman Wolf 1 and Anna Nagurney 2 1 Department of Electrical and Computer

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

NFS, GPFS, PVFS, Lustre Batch-scheduled systems: Clusters, Grids, and Supercomputers Programming paradigm: HPC, MTC, and HTC

NFS, GPFS, PVFS, Lustre Batch-scheduled systems: Clusters, Grids, and Supercomputers Programming paradigm: HPC, MTC, and HTC Segregated storage and compute NFS, GPFS, PVFS, Lustre Batch-scheduled systems: Clusters, Grids, and Supercomputers Programming paradigm: HPC, MTC, and HTC Co-located storage and compute HDFS, GFS Data

More information

Parallel Computing. Parallel Computing. Hwansoo Han

Parallel Computing. Parallel Computing. Hwansoo Han Parallel Computing Parallel Computing Hwansoo Han What is Parallel Computing? Software with multiple threads Parallel vs. concurrent Parallel computing executes multiple threads at the same time on multiple

More information

Eliminating Dark Bandwidth

Eliminating Dark Bandwidth Eliminating Dark Bandwidth Jonathan Beard (twitter: @jonathan_beard) Staff Research Engineer Memory Systems, HPC HCPM 2017 22 June 2017 Dark Bandwidth: Data moved throughout the memory hierarchy that is

More information

The Cray Rainier System: Integrated Scalar/Vector Computing

The Cray Rainier System: Integrated Scalar/Vector Computing THE SUPERCOMPUTER COMPANY The Cray Rainier System: Integrated Scalar/Vector Computing Per Nyberg 11 th ECMWF Workshop on HPC in Meteorology Topics Current Product Overview Cray Technology Strengths Rainier

More information

A Case for the 3 rd Radio. Robin Jellum / Director, Technical Marketing

A Case for the 3 rd Radio. Robin Jellum / Director, Technical Marketing A Case for the 3 rd Radio Robin Jellum / Director, Technical Marketing Increasing Demands on WiFi Networks Risk & Complexity Bandwidth Security Threats Devices Performance Users Resources Gap IT Team IT

More information

Master-Worker pattern

Master-Worker pattern COSC 6397 Big Data Analytics Master Worker Programming Pattern Edgar Gabriel Fall 2018 Master-Worker pattern General idea: distribute the work among a number of processes Two logically different entities:

More information

Database Learning: Toward a Database that Becomes Smarter Over Time

Database Learning: Toward a Database that Becomes Smarter Over Time Database Learning: Toward a Database that Becomes Smarter Over Time Yongjoo Park Ahmad Shahab Tajik Michael Cafarella Barzan Mozafari University of Michigan, Ann Arbor Today s databases Database Users

More information

Service Recovery & Availability. Robert Dickerson June 2010

Service Recovery & Availability. Robert Dickerson June 2010 Service Recovery & Availability Robert Dickerson June 2010 Started in 1971 with $3,000, 40 clients and 1 employee. 2009: over $2B revenue, 500,000+ clients, 13,000 employees. Payroll / Tax Services / 401(k)

More information

Master-Worker pattern

Master-Worker pattern COSC 6397 Big Data Analytics Master Worker Programming Pattern Edgar Gabriel Spring 2017 Master-Worker pattern General idea: distribute the work among a number of processes Two logically different entities:

More information

CS 498 Hot Topics in High Performance Computing. Networks and Fault Tolerance. 9. Routing and Flow Control

CS 498 Hot Topics in High Performance Computing. Networks and Fault Tolerance. 9. Routing and Flow Control CS 498 Hot Topics in High Performance Computing Networks and Fault Tolerance 9. Routing and Flow Control Intro What did we learn in the last lecture Topology metrics Including minimum diameter of directed

More information

Addressing Performance and Programmability Challenges in Current and Future Supercomputers

Addressing Performance and Programmability Challenges in Current and Future Supercomputers Addressing Performance and Programmability Challenges in Current and Future Supercomputers Luiz DeRose Sr. Principal Engineer Programming Environments Director Cray Inc. VI-HPS - SC'13 Luiz DeRose 2013

More information

Software and Tools for HPE s The Machine Project

Software and Tools for HPE s The Machine Project Labs Software and Tools for HPE s The Machine Project Scalable Tools Workshop Aug/1 - Aug/4, 2016 Lake Tahoe Milind Chabbi Traditional Computing Paradigm CPU DRAM CPU DRAM CPU-centric computing 2 CPU-Centric

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Correlation based File Prefetching Approach for Hadoop

Correlation based File Prefetching Approach for Hadoop IEEE 2nd International Conference on Cloud Computing Technology and Science Correlation based File Prefetching Approach for Hadoop Bo Dong 1, Xiao Zhong 2, Qinghua Zheng 1, Lirong Jian 2, Jian Liu 1, Jie

More information

The Cascade High Productivity Programming Language

The Cascade High Productivity Programming Language The Cascade High Productivity Programming Language Hans P. Zima University of Vienna, Austria and JPL, California Institute of Technology, Pasadena, CA CMWF Workshop on the Use of High Performance Computing

More information

Exploration of Cache Coherent CPU- FPGA Heterogeneous System

Exploration of Cache Coherent CPU- FPGA Heterogeneous System Exploration of Cache Coherent CPU- FPGA Heterogeneous System Wei Zhang Department of Electronic and Computer Engineering Hong Kong University of Science and Technology 1 Outline ointroduction to FPGA-based

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise

SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise Data Analysis Knowledge SoC Silicon and Software Design Cost Analysis: Costs for Higher Complexity Continue to Rise SC102-13 May 2013 Copyright Semico Research, 2013. All rights reserved. Reproduction

More information

The Implications of Multi-core

The Implications of Multi-core The Implications of Multi- What I want to do today Given that everyone is heralding Multi- Is it really the Holy Grail? Will it cure cancer? A lot of misinformation has surfaced What multi- is and what

More information

ICON for HD(CP) 2. High Definition Clouds and Precipitation for Advancing Climate Prediction

ICON for HD(CP) 2. High Definition Clouds and Precipitation for Advancing Climate Prediction ICON for HD(CP) 2 High Definition Clouds and Precipitation for Advancing Climate Prediction High Definition Clouds and Precipitation for Advancing Climate Prediction ICON 2 years ago Parameterize shallow

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

Exascale: challenges and opportunities in a power constrained world

Exascale: challenges and opportunities in a power constrained world Exascale: challenges and opportunities in a power constrained world Carlo Cavazzoni c.cavazzoni@cineca.it SuperComputing Applications and Innovation Department CINECA CINECA non profit Consortium, made

More information

A mixed signal verification platform to verify I/O designs

A mixed signal verification platform to verify I/O designs A mixed signal verification platform to verify I/O designs Dan Bernard Dhaval Sejpal 7/14/11 Introduction My group at IBM develops high-speed custom I/O interfaces for IBM's server processors. In the past,

More information

Top-Down System Design Approach Hans-Christian Hoppe, Intel Deutschland GmbH

Top-Down System Design Approach Hans-Christian Hoppe, Intel Deutschland GmbH Exploiting the Potential of European HPC Stakeholders in Extreme-Scale Demonstrators Top-Down System Design Approach Hans-Christian Hoppe, Intel Deutschland GmbH Motivation & Introduction Computer system

More information

Network Design Considerations for Grid Computing

Network Design Considerations for Grid Computing Network Design Considerations for Grid Computing Engineering Systems How Bandwidth, Latency, and Packet Size Impact Grid Job Performance by Erik Burrows, Engineering Systems Analyst, Principal, Broadcom

More information

QoS support for Intelligent Storage Devices

QoS support for Intelligent Storage Devices QoS support for Intelligent Storage Devices Joel Wu Scott Brandt Department of Computer Science University of California Santa Cruz ISW 04 UC Santa Cruz Mixed-Workload Requirement General purpose systems

More information

FET Proactive initiative: Advanced Computing Architectures

FET Proactive initiative: Advanced Computing Architectures FET Proactive initiative: Advanced Computing Architectures Terms of Reference 1 INTRODUCTION Fuelled by Moore's law, progress in electronics continues at an unabated pace permitting the design of devices

More information

Adaptive SMT Control for More Responsive Web Applications

Adaptive SMT Control for More Responsive Web Applications Adaptive SMT Control for More Responsive Web Applications Hiroshi Inoue and Toshio Nakatani IBM Research Tokyo University of Tokyo Oct 27, 2014 IISWC @ Raleigh, NC, USA Response time matters! Peak throughput

More information

EE/CSCI 451: Parallel and Distributed Computation

EE/CSCI 451: Parallel and Distributed Computation EE/CSCI 451: Parallel and Distributed Computation Lecture #12 2/21/2017 Xuehai Qian Xuehai.qian@usc.edu http://alchem.usc.edu/portal/xuehaiq.html University of Southern California 1 Last class Outline

More information

Security Architecture Models for the Cloud

Security Architecture Models for the Cloud White Paper Security Architecture Models for the Cloud Introduction While Hardware Security Module (HSM) customers traditionally have their own infrastructures and data centers and run HSMs on premises,

More information

Towards Deploying Decommissioned Mobile Devices as Cheap Energy-Efficient Compute Nodes

Towards Deploying Decommissioned Mobile Devices as Cheap Energy-Efficient Compute Nodes Towards Deploying Decommissioned Mobile Devices as Cheap Energy-Efficient Compute Nodes Mohammad Shahrad and David Wentzlaff Monday, July 10, 2017 Growing Dominance of Smartphones 6.3 billion 3.2 Smartphones

More information

Scalable Software Transactional Memory for Chapel High-Productivity Language

Scalable Software Transactional Memory for Chapel High-Productivity Language Scalable Software Transactional Memory for Chapel High-Productivity Language Srinivas Sridharan and Peter Kogge, U. Notre Dame Brad Chamberlain, Cray Inc Jeffrey Vetter, Future Technologies Group, ORNL

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Intel CoFluent Studio in Digital Imaging

Intel CoFluent Studio in Digital Imaging Intel CoFluent Studio in Digital Imaging Sensata Technologies Use Case Sensata Technologies www.sensatatechnologies.com Formerly Texas Instruments Sensors & Controls, Sensata Technologies is the world

More information

Alcatel-Lucent invents and delivers the innovative networks of tomorrow.

Alcatel-Lucent invents and delivers the innovative networks of tomorrow. Alcatel-Lucent invents and delivers the innovative networks of tomorrow. Every success has its network. Alcatel-Lucent is the leading IP networking, ultra-broadband access, and cloud technology specialist.

More information

The Revenue Mindset Shift Addressing false positives. Sam Hartung Whitepages Pro, Partnership Risk Manager

The Revenue Mindset Shift Addressing false positives. Sam Hartung Whitepages Pro, Partnership Risk Manager The Revenue Mindset Shift Addressing false positives Sam Hartung Whitepages Pro, Partnership Risk Manager The consumer expectation shift Convenience Curated shopping experience Speed Digital world with

More information

RE-IMAGINING THE DATACENTER. Lynn Comp Director of Datacenter Solutions and Technologies

RE-IMAGINING THE DATACENTER. Lynn Comp Director of Datacenter Solutions and Technologies RE-IMAGINING THE DATACENTER Lynn Comp Director of Datacenter Solutions and Technologies IT: Period of Transformation Computer-Centric Network-Centric Human-Centric Focused on Productivity through automation

More information

Announcements. 1 week extension on project. 1 week extension on Lab 3 for 141L. Measuring performance Return quiz #1

Announcements. 1 week extension on project. 1 week extension on Lab 3 for 141L. Measuring performance Return quiz #1 Today Announcements 1 week extension on project. 1 week extension on Lab 3 for 141L. Measuring performance Return quiz #1 1 Evaluating Computers: Bigger, better, faster, more? 2 Key Points What does it

More information

Overview. Idea: Reduce CPU clock frequency This idea is well suited specifically for visualization

Overview. Idea: Reduce CPU clock frequency This idea is well suited specifically for visualization Exploring Tradeoffs Between Power and Performance for a Scientific Visualization Algorithm Stephanie Labasan & Matt Larsen (University of Oregon), Hank Childs (Lawrence Berkeley National Laboratory) 26

More information

The Embedded Systems Design Challenge. EPFL Verimag

The Embedded Systems Design Challenge. EPFL Verimag The Embedded Systems Design Challenge Tom Henzinger Joseph Sifakis EPFL Verimag Formal Methods: A Tale of Two Cultures Engineering Computer Science Differential Equations Linear Algebra Probability Theory

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Introduction to parallel computing

Introduction to parallel computing Introduction to parallel computing 3. Parallel Software Zhiao Shi (modifications by Will French) Advanced Computing Center for Education & Research Vanderbilt University Last time Parallel hardware Multi-core

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

Optimal Partition with Block-Level Parallelization in C-to-RTL Synthesis for Streaming Applications

Optimal Partition with Block-Level Parallelization in C-to-RTL Synthesis for Streaming Applications Optimal Partition with Block-Level Parallelization in C-to-RTL Synthesis for Streaming Applications Authors: Shuangchen Li, Yongpan Liu, X.Sharon Hu, Xinyu He, Pei Zhang, and Huazhong Yang 2013/01/23 Outline

More information

When will the cost of dependability end innovation in computer design?

When will the cost of dependability end innovation in computer design? When will the cost of dependability end innovation in computer design? VTS-2015 panel session Andrew B. Kahng UCSD CSE and ECE Departments abk@ucsd.edu http://vlsicad.ucsd.edu 1 Huh??? IMHO, The cost of

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Memory hierarchy review. ECE 154B Dmitri Strukov

Memory hierarchy review. ECE 154B Dmitri Strukov Memory hierarchy review ECE 154B Dmitri Strukov Outline Cache motivation Cache basics Six basic optimizations Virtual memory Cache performance Opteron example Processor-DRAM gap in latency Q1. How to deal

More information

HDFS Architecture. Gregory Kesden, CSE-291 (Storage Systems) Fall 2017

HDFS Architecture. Gregory Kesden, CSE-291 (Storage Systems) Fall 2017 HDFS Architecture Gregory Kesden, CSE-291 (Storage Systems) Fall 2017 Based Upon: http://hadoop.apache.org/docs/r3.0.0-alpha1/hadoopproject-dist/hadoop-hdfs/hdfsdesign.html Assumptions At scale, hardware

More information

15-740/ Computer Architecture Lecture 4: Pipelining. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 4: Pipelining. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 4: Pipelining Prof. Onur Mutlu Carnegie Mellon University Last Time Addressing modes Other ISA-level tradeoffs Programmer vs. microarchitect Virtual memory Unaligned

More information

Inference

Inference Inference Architectures @Xilinx Graham Schelle, PhD Principal Engineer Xilinx Research Labs Xilinx Headlines!2 Twitch Chooses Xilinx to Enable its Broadcast-quality Livestream of esports Agenda Xilinx

More information

SAP HANA. Jake Klein/ SVP SAP HANA June, 2013

SAP HANA. Jake Klein/ SVP SAP HANA June, 2013 SAP HANA Jake Klein/ SVP SAP HANA June, 2013 SAP 3 YEARS AGO Middleware BI / Analytics Core ERP + Suite 2013 WHERE ARE WE NOW? Cloud Mobile Applications SAP HANA Analytics D&T Changed Reality Disruptive

More information

EMC VPLEX VIRTUAL EDITION: USE CASES AND PERFORMANCE PLANNING

EMC VPLEX VIRTUAL EDITION: USE CASES AND PERFORMANCE PLANNING White Paper EMC VPLEX VIRTUAL EDITION: USE CASES AND PERFORMANCE PLANNING Abstract This white paper provides an overview of VPLEX/VE use cases and performance characteristics Copyright 2014 EMC Corporation.

More information

A Data-Parallel Genealogy: The GPU Family Tree. John Owens University of California, Davis

A Data-Parallel Genealogy: The GPU Family Tree. John Owens University of California, Davis A Data-Parallel Genealogy: The GPU Family Tree John Owens University of California, Davis Outline Moore s Law brings opportunity Gains in performance and capabilities. What has 20+ years of development

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Microservices at Netflix Scale. First Principles, Tradeoffs, Lessons Learned Ruslan

Microservices at Netflix Scale. First Principles, Tradeoffs, Lessons Learned Ruslan Microservices at Netflix Scale First Principles, Tradeoffs, Lessons Learned Ruslan Meshenberg @rusmeshenberg Microservices: all benefits, no costs? Netflix is the world s leading Internet television network

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

Integrated Simulation and Hardware-test Environment for Microcontroller Development

Integrated Simulation and Hardware-test Environment for Microcontroller Development Integrated Simulation and Hardware-test Environment for Microcontroller Development Category: Semiconductor by Andras Ferencz, Zsolt Kemecsei Director, R&D engineer Naturen Ltd. Products Used: LabVIEW

More information

Distributed Systems. Characteristics of Distributed Systems. Lecture Notes 1 Basic Concepts. Operating Systems. Anand Tripathi

Distributed Systems. Characteristics of Distributed Systems. Lecture Notes 1 Basic Concepts. Operating Systems. Anand Tripathi 1 Lecture Notes 1 Basic Concepts Anand Tripathi CSci 8980 Operating Systems Anand Tripathi CSci 8980 1 Distributed Systems A set of computers (hosts or nodes) connected through a communication network.

More information