A mixed signal verification platform to verify I/O designs

Size: px
Start display at page:

Download "A mixed signal verification platform to verify I/O designs"

Transcription

1 A mixed signal verification platform to verify I/O designs Dan Bernard Dhaval Sejpal 7/14/11

2 Introduction My group at IBM develops high-speed custom I/O interfaces for IBM's server processors. In the past, we used traditional analog simulation approaches for our circuit verification. However, as our interfaces became more complex, there was an increasing need to use mixed-signal approaches to verify the link operation. 2

3 Verification Overview At IBM a combination of software tools check the accuracy of designs. For formal verification, an internal tool called Verity is used to ensure that a schematic matches the corresponding VHD for each design. For VHD functional verification, our group uses an internally developed event simulation tool called Sim_Phy to verify that the logic is performing correctly. For higher level (chip) functional verification, cycle-sim based simulators verify only VHD, requiring it to be provided for all levels of the design. 3

4 Formal Verification imitations Verity establishes equivalence for digital structures, but falls short with analog structures, especially those where the circuits output value is an analog quantity (i.e. a DAC) Approaches available in Verity to correct that limitation involve one of the following: - A manually created replacement model of the analog schematic (usebox) The usebox modeling language is non-standard, and is limited to describing digital structures. Also, the equivalency of the model to the analog structure can not easily be established. - Ignoring the analog structure altogether through a blackbox Both of these methods create a verification coverage gap, which is undesirable 4

5 The Sim_Phy Tool The Sim_Phy tool was designed to provide functional event-sim verification for our logic designs. It utilizes Cadence ncsim as the simulator, and has a custom TC interface to orchestrate the compile/elaboration/simulation of each testcase. ach testcase is comprised of: - A VHD testbench enclosing the design under test, and a SystemC code block. The SystemC block provides stimulus and results checking, and can execute any desired testcase to cover the breadth of the design function. 5

6 Sim_Phy Testcase Structure VHD Top (Testbench) SystemC Block Testcases Stimulus TX (VHD) Sub-Phy Block (VHD) Sub-Block (VHD) esults Checking X (VHD) Sub-Phy Block (VHD) Sub-Block Under Test (VHD) 6

7 Need For nhanced Verification These two verification methods fell short when faced with increasingly complex interfaces containing channel equalization. These interfaces required interactions between digital state-machines and analog channel equalization circuits (i.e. DF) to achieve successful operation. Therefor a mixed signal approach was required to meet this challenge. 7

8 Approach To nhanced Verification To address these shortcomings we chose a targeted mixed-signal approach, covering only those structures that were unable to be verified by either the formal method (Verity) or the event-sim method (Sim_Phy). These structures would then be covered by behavioral models. With this targeted approach, model counts were minimized, and the existing verification infrastructure could be reused with some enhancement. The required behavioral models were written by the circuit designers in Verilog-A/AMS and tested in an AD testbench prior to release. These models were then pulled into the Sim_Phy compilation flow; where they selectively replaced existing VHD code. The model swap method utilized was compilation control; where the elaborator was forced to bind with the Verilog models due to the removal of the original VHD code. 8

9 Sim_Phy Testcase Structure With Swapped AMS Model VHD Top (Testbench) SystemC Block Testcases Stimulus TX (VHD) Sub-Phy Block (VHD) Sub-Block (VHD) esults Checking X (VHD) Sub-Phy Block (VHD) Sub-Block Under Test (Verilog-A/AMS) 9

10 Implementation Challenges anguage Training: With the circuit designers writing the behavioral models, training from Cadence was obtained for all circuit designers. This led to a long ramp-up as designers gradually became familiar with Verilog-A/AMS modeling. Custom VHD types: When replacing existing VHD with behavioral models, VHD wrappers were required between the logic side and the Verilog layer to allow for the elaboration of pins using custom VHD types (power pins). These wrapper files were generated by scripts, then later hand maintained as logic and schematic designs evolved. Hierarchical Separation of Models: Due to the requirement of the VHD top-level testbench, efforts were made to place analog structures adjacent to one another in the hierarchy as much as possible to minimize analog signals traversing the VHD. However in certain areas this was unavoidable and required the use of real signal routing 10

11 Implementation Challenges: eal Signal outing In VHD designs, ports are typically designated as type std_ulogic This is acceptable for mixed-signal uses where the digital edge is all that is required, and a 2 connect module can provide the required electrical connection to a behavioral model. To provide a real port to the behavioral model, and yet also maintain compatibility with logic simulation, a technique was required. A VHD package was written, that defined a subtype real_net as std_ulogic. In VHD where a real signal needed to be routed; the port type real_net was used instead of std_ulogic. A second VHD package was also written where the subtype real_net was defined as real. Thus by selectively compiling one of the VHD packages, the nets defined as real_net could be toggled between std_ulogic and real anywhere in the design. This method allowed hierarchically separated behavioral models, to be connected by a real port through VHD hierarchy. 11

12 Modeling anguage Selection Initial research indicated that Verilog-AMS provided the best capability to mix the simulation advantages of inherently digital portions of a model, with the ability to describe purely analog operation as well. From a circuit designer perspective however, Verilog-A had the benefit of being directly simulated by Spectre, along with a continuous modeling approach that more closely mimicked analog circuit operation. Using either modeling language with electrical pins however, drives the automatic insertion of either 2, or 2 connect modules. 12

13 Design xample: X Side Data_p Data_n Channel Model Pre- Amp Dp Dn Vhdl eal Control signals Control signals c2_0_p c2_0_n c2_90_p c2_90_n Phase ot c2r_0_p c2r_0_n c2r_90_p c2r_90_n Clk Buffer c2_00 c2_00b c2_90 c2_90b S a m p l e r D e s e r Data To MS (synthesized digital logic) Control signals Func Dacs Vhdl eal Control signals Diag Dacs Vhdl eal 13

14 Modeling Approach 1: Models With lectrical Ports Verilog-AMS Data_p Data_n Channel Model Pre-Amp Vhdl eal Samplat Data_out Verilog-A Verilog-A Vhdl eal Control Signals DAC Verilog-AMS 14

15 esults: Models With lectrical Ports The full Sim_Phy testcase of which a portion was shown, results in the use of 296 connect modules to convert between electrical/logic, and electrical/wreal port types. From a simulation time perspective, it required over an hour to execute a testcase sequence of roughly 26us. This was deemed far too long to be useful by our verification team. - Several runtime assessments pointed toward the connect modules as taking a disproportionate amount of the simulation time. This seemed to be due to the large number of analog time points required to track the analog waveforms. A tradeoff was observed between runtime and accuracy for the connect modules. Turning down the accuracy, resulted in some runtime improvement. But the resulting reduced accuracy was not acceptable. 15

16 Modeling Approach 2: Models With Wreal Ports During the runtime assessments, it was discovered that simulation speed could be greatly improved on several of the models, simply by changing their port types to wreal, and thus eliminating the connect modules. This method resulted in simulation speedups for the DAC, and sample latch; with the latter resulting in the greatest speedup due to the large number of switching events in the testcase. These successes led us to consider adopting real value modeling for the other more analog models in the design, and thus improve their performance and accuracy. The Preamp required a fundamental re-write for real modeling. At issue was the use of a Verilog-A channel model, that needed to be converted to a discrete time model. 16

17 Modeling Approach 2: Models With Wreal Ports Verilog-AMS eal Data_p Data_n Channel Model Pre-Amp Vhdl eal Samplat Data_out Verilog-AMS eal Verilog-AMS eal Vhdl eal Control Signals DAC Verilog-AMS eal 17

18 untime esults Summary Phaserot Samplat Dac Channel and Preamp Models Connect Modules Sim Time(us) lapsed Time(s) us/hr (*) AMS Digital AMS lectrical AMS lectrical AMS lectrical AMS Digital AMS Wreal AMS Wreal AMS Wreal * Test Setup: 3GHz Intel Xeon (4-core), H5; Incisiv 9.2, AMS Spectre Moving to real value modeling resulted in a ~10x runtime improvement 18

19 Conclusion In conclusion, the mixed-signal enhancement to our verification methodology has enabled a more complete functional verification of our I/O designs and helped us to achieve better design quality. With the real value modeling improvements, we are seeing a simulation speedup of about 10x over the original electrical port models. The real value modeling approach provides the necessary simulation speedup, and does not compromise on the accuracy required for functional verification. 19

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture Behavioral Simulation Exercises Michael H Perrott August 13, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. A

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys Universal Verification Methodology (UVM)-based Random Verification through VCS and CustomSim in Analog Mixed-signal Designs for Faster Coverage Closure Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur Freitas, Freescale Semiconductor, Inc., Analog & Sensors, Toulouse, France (arthur.freitas@freescale.com)

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office. Modular SystemC is a set of modules related to SystemC TM (IEEE 1666-2005) aimed at fulfilling teambased training requirements for engineers from a range of technical backgrounds, i.e. hardware and software

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr. Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog Paradigm Works, Inc. Dr. Ambar Sarkar Session # 2.15 Presented at Module- or Class-Based URM? A Pragmatic

More information

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Incisive Enterprise Verifier

Incisive Enterprise Verifier Integrated formal analysis and simulation engines for faster verification closure With dual power from integrated formal analysis and simulation engines, Cadence Incisive Enterprise Verifier allows designers,

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Equivalence Validation of Analog Behavioral Models

Equivalence Validation of Analog Behavioral Models Equivalence Validation of Analog Behavioral Models Hardik Parekh *, Manish Kumar Karna *, Mohit Jain*, Atul Pandey +, Sandeep Mittal ++ * ST MICROELECTRONICS PVT. LTD., GREATER NOIDA,INDIA { HARDIK.PAREKH,

More information

The Optimization of a Design Using VHDL Concepts

The Optimization of a Design Using VHDL Concepts The Optimization of a Design Using VHDL Concepts Iuliana CHIUCHISAN 1, Alin Dan POTORAC 2 "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava 1 iuliap@eed.usv.ro, 2 alinp@eed.usv.ro

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology

Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Plugging the Holes: SystemC and VHDL Functional Coverage Methodology Pankaj Singh Infineon Technologies Pankaj.Singh@infineon.com Gaurav Kumar Verma Mentor Graphics Gaurav-Kumar_Verma@mentor.com ABSTRACT

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur FREITAS Régis SANTONJA Accellera Systems Initiative 1 Outline Intro Pre-UVM, Module- Based Environment

More information

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications Advanced Digital Verification Nathan Nipper Cadence NCSim Demonstration John Martiney Harris Corporation, 10/16/2007 What is Verification Functional Verification is the task of verifying that the logic

More information

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design. 01-1 Electronic Design Automation (EDA) 01-1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

1 Design Process HOME CONTENTS INDEX. For further assistance,  or call your local support center 1 Design Process VHDL Compiler, a member of the Synopsys HDL Compiler family, translates and optimizes a VHDL description to an internal gate-level equivalent. This representation is then compiled with

More information

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE MALLIKARJUNA REDDY. Y, TEST AND VERIFICATION SOLUTIONS K.VENKATRAMANARAO, MINDLANCE TECHNOLOGIES AGENDA Analog Modeling Vs Real Number Modeling

More information

System-on-Chip Design for Wireless Communications

System-on-Chip Design for Wireless Communications System-on-Chip Design for Wireless Communications Stamenkovic, Zoran Frankfurt (Oder), Germany, February 9-10, 2016 DFG-Workshop on Advanced Wireless Sensor Networks Agenda 1 Wireless Systems (Hardware/Software

More information

Mixed Signal Design Simulation Manual

Mixed Signal Design Simulation Manual CADENCE Mixed Signal Design Simulation Manual Version 1.0 By Zheng Huan Qun February 2005 Department of Electrical and Computer Engineering National University of Singapore ACKNOWLEDGMENTS The author would

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Assertive Verification: A Ten-Minute Primer

Assertive Verification: A Ten-Minute Primer Assertive Verification: A Ten-Minute Primer As published on 8/16/02 in EEDesign.com And Written by Saeed Coates, Paradigm Works, Inc. www.paradigm-works.com Table of Contents 1.1 Introduction: The Verification

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Design of a Switch-Level Analog model for Verilog

Design of a Switch-Level Analog model for Verilog Design of a Switch-Level Analog model for Verilog September 25, 2008 Tom Sheffler Rambus Inc. Verilog Review A variety of logic evaluation mechanisms Gates, UDPs Behavioral Switch-Level State Stored in

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification. 1-4.1 1-4.2 Spiral 1 / Unit 4 Verilog HDL Mark Redekopp OVERVIEW 1-4.3 1-4.4 Digital Circuit Design Steps Digital Circuit Design Description Design and computer-entry of circuit Verification Input Stimulus

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 11 Introduction to Verilog II Sequential Circuits OBJECTIVES: To understand the concepts

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

High-Level Information Interface

High-Level Information Interface High-Level Information Interface Deliverable Report: SRC task 1875.001 - Jan 31, 2011 Task Title: Exploiting Synergy of Synthesis and Verification Task Leaders: Robert K. Brayton and Alan Mishchenko Univ.

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 4: CAD tools Dr. Mohamed Abd El Ghany, Introduction to CAD Tools The preceding lectures introduced a basic approach for synthesis of logic circuits. A designer could use

More information

Data Management for a Mixed-Signal Design Project with Distributed Teams. Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock

Data Management for a Mixed-Signal Design Project with Distributed Teams. Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock Data Management for a Mixed-Signal Design Project with Distributed Teams Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock 1 Outline Introduction Historical Perspective Requirements

More information

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016 ECE 353 Lab 4 MIDI Receiver in Verilog Professor Daniel Holcomb UMass Amherst Fall 2016 Timeline and Grading for Lab 4 Lectures on 11/15 and 11/17 Due on 12/12 Demos in Duda hall Schedule will be posted

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC UG819 (v 13.1) March 18, 2011 Xilinx is disclosing this user guide, manual, release note, and/or

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

CMSC 611: Advanced Computer Architecture

CMSC 611: Advanced Computer Architecture CMSC 611: Advanced Computer Architecture Design Languages Practically everything adapted from slides by Peter J. Ashenden, VHDL Quick Start Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

Comprehensive CDC Verification with Advanced Hierarchical Data Models

Comprehensive CDC Verification with Advanced Hierarchical Data Models Comprehensive CDC Verification with Advanced Hierarchical Data Models by Anwesha Choudhury, Ashish Hari, Aditya Vij, and Ping Yeung Mentor, A Siemens Business The size and complexity of designs, and the

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

Open Verification Methodology (OVM)

Open Verification Methodology (OVM) Open Verification Methodology (OVM) Built on the success of the Advanced Verification Methodology (AVM) from Mentor Graphics and the Universal Reuse Methodology (URM) from Cadence, the OVM brings the combined

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: www.vhdl.us Appendix C Xilinx ISE Tutorial (ISE 11.1) This tutorial is based on ISE 11.1 WebPack (free at

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1) September 3, 2018 GETTING STARTED WITH VHDL 2 Top-down design VHDL history Main elements of VHDL Entities and architectures Signals and processes Data types Configurations Simulator basics The testbench

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

Modeling Asynchronous Communication at Different Levels of Abstraction Using SystemC

Modeling Asynchronous Communication at Different Levels of Abstraction Using SystemC Modeling Asynchronous Communication at Different Levels of Abstraction Using SystemC Shankar Mahadevan Inst. for Informatics and Mathematical Modeling Tech. Univ. of Denmark (DTU) Lyngby, Denmark sm@imm.dtu.dk

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Can You Even Debug a 200M+ Gate Design?

Can You Even Debug a 200M+ Gate Design? Can You Even Debug a 200M+ Gate Design? Horace Chan PMC-Sierra 8555 Baxter Place, Burnaby, BC Canada, V5A 4V7 604-415-6000 Brian Vandegriend PMC-Sierra 8555 Baxter Place, Burnaby, BC Canada, V5A 4V7 604-415-6000

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

HDL Cosimulation August 2005

HDL Cosimulation August 2005 HDL Cosimulation August 2005 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this material, including,

More information

JL Gray July 30, 2008

JL Gray July 30, 2008 Santa Claus, the Tooth Fairy and SystemVerilog Interoperability JL Gray July 30, 2008 JL.GRAY@VERILAB.COM www.coolverification.com 1 2 Agenda Intro Looking Back: 1998 Present Methodology and Simulator

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia

Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia Beyond the Focus Penetration Testing in Future Hardware Fuzzing the RTL Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia Legal Disclaimer Today s presentation may contain

More information

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Scott R. Little University of Utah Motivation 1 About 75 percent of all chips include analog circuits. These circuits make up 2 percent

More information

VHDL introduction Notes

VHDL introduction Notes UH Hawaii Manoa 475 Electronics for physicists VHDL introduction Notes Author: Andrej Seljak Date: Fall 2016 update 1 Ver: 1.0 Table of Contents 1. FPGA description3 2. USB EVALUATION board 4 3. ISE Xilinx

More information