INDEX. (April Till date) IEEE Transactions - Elsevier - Spinger / Science - Direct Others 17 IEEE Transactions 2 IEEE Sponsored

Size: px
Start display at page:

Download "INDEX. (April Till date) IEEE Transactions - Elsevier - Spinger / Science - Direct Others 17 IEEE Transactions 2 IEEE Sponsored"

Transcription

1 INDEX (April-205- Till date) SlNo Particulars Publication type 2 3 INTERNATIONAL JOURNALS INTERNATIONAL CONFERENCES NATIONAL CONFERENCES Count Transactions - Elsevier - Spinger / Science - Direct Ors 7 Transactions 2 Sponsored 5 Elsevier Spinger / Science Direct Ors 4 Total Nos (Count) 7 4 2

2 Publications in Journals April-205 to Till date Sl N o publication Software Defined Networking Architecture & open Flow N/w Topologies P Narashimaraja & Fahad Kameez Journal g Technology, Management & Applied S Sciences publicatio n Aug-205 ISSN, Vol, Isse, Impact Factor Page Nos ISSN : , Vol 3, Issue : Design and Implementation of High Performance AES Architecture Sunil D K, Dr Kariyappa B S g Technology, Management and Applied Sciences Jul-205 ISSN Volume 3, Issue 6, An efficient approach for Boundary Scan Verification for a System-on-Chip Architectural Design of On-Rotary CAN BUS Debugging Tool for CT Machine A Novel SRAM Cell Design for Low Power Applications, Scaling Challenges in CMOS Technology Kiran K P, Namita P, Siva Sankar A3 Vinay Pushparaj, Ravishankar Holla Dr H V Ravish Aradhya, B V Vishwas Nithin M & Adarsh H C Advanced Research (205), Innovative Research in g & Management (IJIREM) g Research and Technology (IJERT) Journal AREST July 205 Jul-205 Jun-205 Jun-205 ISSN Vol 3, Issue 7, ISSN: , Volume- 2, Issue-4 ISSN: , Vol4, Issue4 ISSN (o) , ISSN(p) : , Vol 2, Issue : 6, pp

3 7 Design and optimization of Asynchronous counter using Reversible Logic Chinmaye R & Harish K g Research & Technology, June-205 ISSN : , Vol,4, Issue : Sl N o 8 9 publication Design of Optimal Reversible Arithmetic Logic Units (ALUs) "Printed and Handwritten Mixed Kannada Characters Recognition using Template Matching Method" Chinmaye R, Amrutha C, Vishwanath S, Soumya S Ghosh, Shouryadipta Sarkar Dr HS Mohana,Pradeepa R,Rajithkumar BK,Dr M Shivakumar Journal g and innovative technology publicatio n June-205 Electronics & Communication Technology(IJECT) June 205 ISSN, Vol, Isse, Month & Year ISSN : , Vol-4, Issue 2 Page Nos 87-92,ISSN : (Online) ISSN : (Print),Vol-6, Issue GPS and GSM Based Database Systems for User Access Efficient Design of Low power DCT Processor using CORDIC Algorithm for Image Compression / Decompression Dr H V Ravish Aradhya, Aravindkumar D Gumtaj, Mohana, Gouri S Katageri, Siddappa P Odeyar, Veenadevi S V Software and Web Sciences (IJSWS), STEM Scientific Online Media and Publishing House, Georgia-3008, United States g technology, Management & Applied Sciences, May-205, May--205 ISSN: , Vol, Issue2, ISSN Vol 3, Issue : 5,

4 2 The Design of TMA Gain Adjustment Based on AISG20 Manjunath H A, Chethana G, & Suma M S g technology, Management & Applied Sciences, May--205 ISSN Vol 3, Issue : 5, "Ffabrication and Characterisation of Pentacene Thin Films" Ravishankar Holla; Ananth Noorithaya; Uttarakumari M INTERNATIONAL JOURNAL OF ELECTRONICS CIRCUITS AND SYSTEMS (IIJECS), May 205 Vol-4, issue Sl N o 4 publication An exhaustive revives approach using IOT for smart traffic flow prediction Kiran V, Eshwaraih R Journal VLSI and Embedded Systems-IJVES publicatio n ISSN, Vol, Isse, Month & Year May 205 ISSN: , Vol 06, Article 05579; Page Nos Dominant Frequency Enhancement of Speech Signal to Improve Intelligibility and Quality Low Power Array Multiplier using Modified GDI Cell for Full output swing Premananda B S & Dr Uma B V Veenadevi S D, & Rajavenu Pidi IJ Image, Graphics and Signal Processin 205 Advanced Research in Computer and Communication g May 205 DOI: 0585/ijigsp Apr-205 ISSN : , & Vol4 Issue Design and Performance Comparison of finfet, CNFET and GNRFET based 6T SRAM Dr H V Ravish Aradhya, et al Science and Research (IJSR) Apr-205 ISSN: , Vol4, Issue ( Coper nicus) 34-39

5 Publications in Conferences April-205 to March-206 Sl No 2 conference Symposium on Antennas and Propogation and National Radio Science Meeting 4th Asia Pacific Confence on Antennas and Propogation 3 IACC Ravishankar S, & Mahesh A Ravishankar S, Shushrutha K, Dhruv M,Palash P,Anirudh K,Sourabh K, DRShilpa, DrBVUma 4 IACC-205 Namitha P Organizer University of British Columbia, Canada Bali Island, Indonesia BMS g BMS g Paper A Hybrid method of Analysis of shaped dielectric lens antennas Analysis of Spheroidal Dielectic Lens -Array Antenna Novel Zero Crosstalk Encoding/Decoding Techniques for SOC Design of High Speed Vedic Multiplier for High Fault Coverage & Comparative Analysis with conventional Multiplier ISSN, Vol, Isse, Explore / / /5 Page No Confere nce 9-24, July June- 3-July th-3th, June th-3th, June 205 Publicat ion type Sponsore d Sponsore d

6 5 IACC 205 Uma BV,, Sreekar V, Mohit T Harsha kamath, Shravan B BMS g Verilog Implementation of of 6 Point FFT and IFFT Blocks by Using 754 Single Precision Complex Floating Point Adder and Multiplier' 2-3, June Sponsore d 6 IACC 205 Anil N, K Swamy, Uma BV BMS g Platform level design for network on chips / , June Sponsore d Sl No conference Organizer Paper ISSN, Vol, Isse, Month & Year Confere nce Publicat ion type 7 IACC 205 K Swamy, Uma BV, Anand Jatti BMS g Performance enhancement and area optimization of 3*3 Network on Chip / , June Sponsore d 8 4 th Conference on Advances in Computin Communication and Control (ICAC3 5) Premananda BS, Uma BV Published by Elsevier, Science Direct, Selective Frequency Enhancement of Speech Signal for Intelligibility Improvement in Presenc Near-end Noise Procedia Computer Science Jul-5 Elsevier & Science Direct

7 9 0 conference on power and advance control engineering (ICPACE), Third SPRINGER Conference on Emerging Research in Computin Information, Communication and Applications (ERCICA-205) Shriya Shekhar, Dr BS Kariyapp a and etal Dr H V Ravish Aradhya, Aravindkumar D Gumtaj, Mohana NMIT Bangalore, India Effective Battery Usage Strategies for Hybrid Power Management Real Time Smart, Intelligent and Novel Embedded Vehicle Interceptor for Security Applications, Aug-5, Aug 205 Spinger Second Conference on Networkin Information & Communication (ICNIC-205) Dr H V Ravish Aradhya, et al Sri Venkateshwara, Karnataka Real Time Object Counting and Classification for Video Surveillance Applications from single fixed camera, May 205 Conference 2 Second SPRINGER Conference on Networkin Information & Communication (ICNIC-205) Dr H V Ravish Aradhya, et al Sri Venkateshwara, Karnataka CAP - Ro Translator For UMTS to LTE Protocol Conversion 8-20 May 205 Conference

8 3 2nd conference on networks,information and Communications(ICNI C-205) Kiran V, Vinilanagaraj Sri Venkateshwara College Of g Bangalore-57 Comparison and Novel approach of peak to average power reduction technique in OFDM 8-20,May 205 Conference 4 2nd conference on networks,information and Communications(ICNI C-205) Kiran V,Vishnu Venkatesh Sri Venkateshwara College Of g Bangalore-57 Methods of gain equations for an EDFA 8-20, May 205 Conference

9 Publications in National Conference April-205 to March-206 Sl No conference National Conference ICT Innovations for Sustainability and Innovative project exhibition on account of world Telecommunication & Information Society Day National Conference ICT Innovations for Sustainability and Innovative project exhibition on account of world Telecommunication & Information Society Day National Conference ICT Innovations for Sustainability and Innovative project exhibition on account of world Telecommunication & Information Society Day National Conference ICT Innovations for Sustainability and Innovative project exhibition on account of world Telecommunication & Information Society Day National Conference on Power,control, communication and instrumentation leading to sustainable technologies National Conference on Power,control,communic ation and instrumentation leading to sustainable technologies Jayanthi PN, Karthik SS Deepika P, Imran Makkandar, Dr H V Ravish Aradhya, et al Kiran V, Naren, Tejas Gowda Prathiba Radder,Shil pa DR Karthik S S, Jayanthi PN Organize r RV RVColleg RVColleg RV RV RV Paper Compressive Sampling Matching Pursuit for Pilot Assisted Sparse Channel Estimation in MIMO OFDM Systems Improving efficiency of IPSec Hardware Accelerator on Xilinx FPGA Design and Performance Analysis of Low- Power Hybrid CMOS Full Adder Cells Finger Print based NFC smart Ticketing Crosstalk Minimization in SOC s using NOC Compressive Sampling Matching Pursuit for Pilot Assisted Sparse Channel Estimation in OFDM Systems Conferen ce 6-7 May May May May-205 April, 205 April, 205

10 7 Sl No 5] conference Ravishanka r Holla; Ananth Noorithaya ; Uttarakum ari M RV Organize r Investigation of Fabrication Techniques of Pentacene Thin Films Paper April, 205 Conferen ce 8 5] DrGeetha KS; Shashank Holla RVColleg Distributed Video Coding Using LDPC Codes April, ] P Narashimar aja;panpali a Jagdish G RVColleg Design and Performance Analysis of Two- Stage Operational Transconductanc e Amplifier in 80nm CMOS Technology April, ] Deepika P, Pavan Gujamagad i, Praveen Kumar V RVColleg Fault Modeling for FinFET's and Strategy for Test Algorithm Creation April, 205 5] Nithin M & jayakrsihna Jonnala RVColleg Performance Analysis of First Order Sigma Delta Modulator April, 205

11 2 5] Sujatha Hiremath, Divya M A & Abhiram Anathramu RVColleg Power gated sequential design, power Aware Verification and Analysis using Unified Power Format and its benefits April, ] Namitha P, RVColleg Robust Approach of Register verification for Display PHY April, 205 Sl NO conference Organize r Paper Conferen ce 4 5 5] 5] Chinmaye R & Harish K Bheema T and DrKariyapp a B S RVColleg RVColleg Design, Optimisation and application of Flip-flop using Reversible Logic Developing Inter- Integrated Circuit Master and Slave Universal Verification Component using UVM April, 205 April, ] Sunil D K and DrKariyapp a B S RVColleg Low Power and High Speed Carry Select Adder April, 205

12 7 Research Challenges in Instrumentation Leading Technologies[NCPCCI- 5] Sujata D Badiger, Presenjith Ray RV Design of a Reliable and Energy Efficient Routing Algorithm for WSN Based on In-Network Data Aggregation April, Research Challenges in Instrumentation Leading Technologies[NCPCCI- 5] Vikyath Javali & Ramavenk ateswaran RV Design, Optimisation & Implementation of Decimation Filter Processor using FPGA April, Research Challenges in Instrumentation Leading Technologies[NCPCCI- 5] Karthik M & Ramavenk ateswaran N RV Test Circuit Design for Input / output qualification April, 205 Sl No conference Organize r Paper Conferen ce 20 Research Challenges in Instrumentation Leading Technologies[NCPCCI- 5] Chetana G, Sudhir Tiwari RV Performance Analysis of MIMO Systems using Linear Precoding Techniques April, Research Challenges in Instrumentation Leading Technologies[NCPCCI- 5] Swathi V, Rajani katiyar RV Blind Equalisation using combination of constant Modulus Algorithm and Least Mean Square Algorithm April, 205

13

Research Publications-2012

Research Publications-2012 Research Publications-2012 International conference 1. Dr.Vasundhara Patel K S., Modeling of sigma delta ADC., Advances in Signal and image processing, sept 2012. 2. Arathi R Shankar., Mobile WiMAX Performance

More information

Indian Silicon Technologies 2013

Indian Silicon Technologies 2013 SI.No Topics IEEE YEAR 1. An RFID Based Solution for Real-Time Patient Surveillance and data Processing Bio- Metric System using FPGA 2. Real-time Binary Shape Matching System Based on FPGA 3. An Optimized

More information

Publications. Papers in International Journal Contributed papers in Conference or Symposium 23 17

Publications. Papers in International Journal Contributed papers in Conference or Symposium 23 17 Publications Research Publications Papers in International Journal Contributed papers in Conference or Symposium 23 17 (a)list of publications in the International Referred Journal Year: 2013 1. Gayathri

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

Published in: Proceedings of the 3rd International Symposium on Environment-Friendly Energies and Applications (EFEA 2014)

Published in: Proceedings of the 3rd International Symposium on Environment-Friendly Energies and Applications (EFEA 2014) Aalborg Universitet SSTL I/O Standard based environment friendly energyl efficient ROM design on FPGA Bansal, Neha; Bansal, Meenakshi; Saini, Rishita; Pandey, Bishwajeet; Kalra, Lakshay; Hussain, Dil muhammed

More information

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT Usha S. 1 and Vijaya Kumar V. 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

Design of a Floating-Point Fused Add-Subtract Unit Using Verilog

Design of a Floating-Point Fused Add-Subtract Unit Using Verilog International Journal of Electronics and Computer Science Engineering 1007 Available Online at www.ijecse.org ISSN- 2277-1956 Design of a Floating-Point Fused Add-Subtract Unit Using Verilog Mayank Sharma,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

M.TECH VLSI IEEE TITLES

M.TECH VLSI IEEE TITLES 2016 2017 M.TECH VLSI IEEE TITLES S.NO TITLES DOMAIN 1 A Fixed-Point Squaring Algorithm Using an Implicit Arbitrary Radix Number System 2 An Improved Design of a Reversible Fault Tolerant LUT-Based FPGA

More information

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Design & Analysis of 16 bit RISC Processor Using low Power Pipelining Yedla Venkanna 148R1D5710 Branch: VLSI ABSTRACT:-

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it. Volume 115 No. 8 2017, 631-636 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGNING ASYNCHRONOUS FIFO FOR LOW POWER DFT IMPLEMENTATION 1 Avinash

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

SDR Forum Technical Conference 2007

SDR Forum Technical Conference 2007 THE APPLICATION OF A NOVEL ADAPTIVE DYNAMIC VOLTAGE SCALING SCHEME TO SOFTWARE DEFINED RADIO Craig Dolwin (Toshiba Research Europe Ltd, Bristol, UK, craig.dolwin@toshiba-trel.com) ABSTRACT This paper presents

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm 2016 IJSRSET Volume 2 Issue 3 Print ISSN : 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology 32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding

More information

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. I (May. -Jun. 2016), PP 67-72 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Modeling and Comparative Analysis

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration 123 Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration NAVEEN K H 1, Dr. JAMUNA S 2, BASAVARAJ H 3 1 (PG Scholar, Dept. of Electronics and Communication, Dayananda

More information

Low Power Circuits using Modified Gate Diffusion Input (GDI)

Low Power Circuits using Modified Gate Diffusion Input (GDI) IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 Low Power Circuits using Modified Gate Diffusion Input

More information

Digital Image Steganography Using Bit Flipping

Digital Image Steganography Using Bit Flipping BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 18, No 1 Sofia 2018 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.2478/cait-2018-0006 Digital Image Steganography Using

More information

HCR Using K-Means Clustering Algorithm

HCR Using K-Means Clustering Algorithm HCR Using K-Means Clustering Algorithm Meha Mathur 1, Anil Saroliya 2 Amity School of Engineering & Technology Amity University Rajasthan, India Abstract: Hindi is a national language of India, there are

More information

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format:

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format: 1313 DESIGN AND PERFORMANCE ANALYSIS OF DOUBLE- PRECISION FLOATING POINT MULTIPLIER USING URDHVA TIRYAGBHYAM SUTRA Y SRINIVASA RAO 1, T SUBHASHINI 2, K RAMBABU 3 P.G Student 1, Assistant Professor 2, Assistant

More information

: : (91-44) (Office) (91-44) (Residence)

:  : (91-44) (Office) (91-44) (Residence) Course: VLSI Circuits (Video Course) Faculty Coordinator(s) : Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Chennai 600036 Email Telephone : srinis@iitm.ac.in,

More information

Securing Multiprocessor Systemon-Chip

Securing Multiprocessor Systemon-Chip Securing Multiprocessor Systemon-Chip By Arnab Kumar Biswas Department of Electronic Systems Engineering Under guidance of Prof. S. K. Nandy Motivation Now-a-days MPSOCs are pervading our dayto-day lives.

More information

Year of Publication. ISBN/ ISSN/ Impact Factor DOI. Sl. No. List of Authors Title of the Paper Journal Name

Year of Publication. ISBN/ ISSN/ Impact Factor DOI. Sl. No. List of Authors Title of the Paper Journal Name Number of quality publications in refereed/sci Journals, citations, Books/Book Chapters etc. The year wise research publications and books of the staff members are listed in table 5.7.1.A.T.1 to 5.7.1.A.T.4

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Research Papers Published in Refereed International Journals

Research Papers Published in Refereed International Journals List of Publications [38] Research Papers Published in Refereed International Journals 1. H S Guruprasad, H D Maheshappa, Dynamic Load Sharing Policy in Distributed VoD using agents, International Journal

More information

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT Design of Delay Efficient Arithmetic Based Split Radix FFT Nisha Laguri #1, K. Anusudha *2 #1 M.Tech Student, Electronics, Department of Electronics Engineering, Pondicherry University, Puducherry, India

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

PRIYANKA DAYAL 11/1 Shastari Nagar, Nakodar Road, Jalandhar, India.

PRIYANKA DAYAL 11/1 Shastari Nagar, Nakodar Road, Jalandhar, India. PRIYANKA DAYAL 11/1 Shastari Nagar, Nakodar Road, Jalandhar, India. CELL 9465623550 E-MAIL priyanka23dayal@gmail.com, priyanka_dayal@ymail.com PROFILE Self-motivated when succeed, Optimistic, able to work

More information

Research Article 2017

Research Article 2017 International Journal of Emerging Research in Management &Technology ISSN: 2278-9359 (Volume-6, Issue-5) Research Article May 2017 Special Issue of International Conference on Emerging Trends in Science

More information

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I VLSI DESIGN (ELECTIVE-I) Question Bank Unit I B.E (E&C) NOV-DEC 2008 1) If A & B are two unsigned variables, with A = 1100 and B = 1001, find the values of following expressions. i. (A and B) ii. (A ^

More information

Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL

Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL Mr.Roshan Pahune 1, Dr.Mrs.AnaghaRathkanthiwar 2 1 M.Tech Student [VLSI],Dept. of Electronics Engg, PCE, Nagpur, India 2 Associate

More information

Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay

Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay A.Sakthivel 1, A.Lalithakumar 2, T.Kowsalya 3 PG Scholar [VLSI], Muthayammal Engineering College,

More information

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering A Review: Design of 16 bit Arithmetic and Logical unit using Vivado 14.7 and Implementation on Basys 3 FPGA Board Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor,

More information

IEEE-754 compliant Algorithms for Fast Multiplication of Double Precision Floating Point Numbers

IEEE-754 compliant Algorithms for Fast Multiplication of Double Precision Floating Point Numbers International Journal of Research in Computer Science ISSN 2249-8257 Volume 1 Issue 1 (2011) pp. 1-7 White Globe Publications www.ijorcs.org IEEE-754 compliant Algorithms for Fast Multiplication of Double

More information

VLSI Design & Implementation of Bus Arbiter 2009 E09VL33 Circuitry

VLSI Design & Implementation of Bus Arbiter 2009 E09VL33 Circuitry 1 CODE IEEE TRANSACTION ON VLSI YEAR E09VL32 VLSI Design & Implementation of Encryption & Decryption using VHDL E09VL01 Low-Power Programmable FPGA Routing VLSI Design & Implementation of Bus Arbiter E09VL33

More information

Implementing Synchronous Counter using Data Mining Techniques

Implementing Synchronous Counter using Data Mining Techniques Implementing Synchronous Counter using Data Mining Techniques Sangeetha S Assistant Professor,Department of Computer Science and Engineering, B.N.M Institute of Technology, Bangalore, Karnataka, India

More information

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT IMPACT: International Journal of Research in Engineering & Technology (IMPACT: IJRET) ISSN(E): 2321-8843; ISSN(P): 2347-4599 Vol. 2, Issue 4, Apr 2014, 199-206 Impact Journals DESIGN OF PARALLEL PIPELINED

More information

Design and Development of Vedic Mathematics based BCD Adder

Design and Development of Vedic Mathematics based BCD Adder International Journal of Applied Information Systems (IJAIS) ISSN : 229-0868 Volume 6 No. 9, March 201 www.ijais.org Design and Development of Vedic Mathematics based BCD Adder C. Sundaresan School of

More information

Digital system (SoC) design for lowcomplexity. Hyun Kim

Digital system (SoC) design for lowcomplexity. Hyun Kim Digital system (SoC) design for lowcomplexity multimedia processing Hyun Kim SoC Design for Multimedia Systems Goal : Reducing computational complexity & power consumption of state-ofthe-art technologies

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

Available online at ScienceDirect. Procedia Technology 24 (2016 )

Available online at   ScienceDirect. Procedia Technology 24 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 24 (2016 ) 1120 1126 International Conference on Emerging Trends in Engineering, Science and Technology (ICETEST - 2015) FPGA

More information

Design of 2-Bit ALU using CMOS & GDI Logic Architectures.

Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Sachin R 1, Sachin R M 2, Sanjay S Nayak 3, Rajiv Gopal 4 1, 2, 3 UG Students, Dept. of ECE New Horizon College of Engineering, Bengaluru 4 Asst.

More information

High Speed Radix 8 CORDIC Processor

High Speed Radix 8 CORDIC Processor High Speed Radix 8 CORDIC Processor Smt. J.M.Rudagi 1, Dr. Smt. S.S ubbaraman 2 1 Associate Professor, K.L.E CET, Chikodi, karnataka, India. 2 Professor, W C E Sangli, Maharashtra. 1 js_itti@yahoo.co.in

More information

VLSI Based 16 Bit ALU with Interfacing Circuit

VLSI Based 16 Bit ALU with Interfacing Circuit Available online at www.ijiere.com International Journal of Innovative and Emerging Research in Engineering e-issn: 2394-3343 p-issn: 2394-5494 VLSI Based 16 Bit ALU with Interfacing Circuit Chandni N.

More information

Implementation of a Unified DSP Coprocessor

Implementation of a Unified DSP Coprocessor Vol. (), Jan,, pp 3-43, ISS: 35-543 Implementation of a Unified DSP Coprocessor Mojdeh Mahdavi Department of Electronics, Shahr-e-Qods Branch, Islamic Azad University, Tehran, Iran *Corresponding author's

More information

An Efficient Designing of I2C Bus Controller Using Verilog

An Efficient Designing of I2C Bus Controller Using Verilog American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

High Performance and Area Efficient DSP Architecture using Dadda Multiplier

High Performance and Area Efficient DSP Architecture using Dadda Multiplier 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology High Performance and Area Efficient DSP Architecture using Dadda Multiplier V.Kiran Kumar

More information

FPGA Implementation of I2C and SPI Protocols using VHDL

FPGA Implementation of I2C and SPI Protocols using VHDL FPGA Implementation of I2C and SPI Protocols using VHDL Satish M Ghuse 1, Prof. Surendra K. Waghmare 2 1, 2 Department of ENTC 1, 2 SPPU/G.H.Raisoni College of Engineering and Management, Pune, Maharashtra/Zone,

More information

NICT collaborates with ASEAN Countries

NICT collaborates with ASEAN Countries NICT collaborates with ASEAN Countries - Social Renovation by ICT from Asia at the Entrance of the Smarter Communication World - Security camera Fumihiko Tom Tomita, Dr. Sci. Chief Research & Strategy

More information

Realization of BCD and Binary Inter Conversions and Coil Control Operations for Ladder Diagram based Programmable Controller

Realization of BCD and Binary Inter Conversions and Coil Control Operations for Ladder Diagram based Programmable Controller Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 10, Number 5 (2017) pp. 815-824 Research India Publications http://www.ripublication.com Realization of BCD and Binary Inter Conversions

More information

KCG College of Technology, Chennai

KCG College of Technology, Chennai faculty member : Dr. ROOPALAKSHMI R Department : Computer Science & Engineering Present Designation : Associate Professor Residential Address : Plot No15, 2 nd cross road, Sri Sai Nagar, West Tambaram,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Novel Design of Dual Core RISC Architecture Implementation

Novel Design of Dual Core RISC Architecture Implementation Journal From the SelectedWorks of Kirat Pal Singh Spring May 18, 2015 Novel Design of Dual Core RISC Architecture Implementation Akshatha Rai K, VTU University, MITE, Moodbidri, Karnataka Basavaraj H J,

More information

PHOTO YRS Number of Workshops. Publications: Conference Journal National& International. Patents: National International

PHOTO YRS Number of Workshops. Publications: Conference Journal National& International. Patents: National International Name: K.LAKSHMI JOSHITHA Designation: ASSISTANT PROFESSOR-GR I PHOTO Qualification: Area of specialization: Experience : (As On May2014) Number of workshop / FDP attended: M.E,(Ph.D) WIRELESS SENSOR NETWORKS

More information

Improved Fault Tolerant Sparse KOGGE Stone ADDER

Improved Fault Tolerant Sparse KOGGE Stone ADDER Improved Fault Tolerant Sparse KOGGE Stone ADDER Mangesh B Kondalkar 1 Arunkumar P Chavan 2 P Narashimaraja 3 1, 2, 3 Department of Electronics and Communication, R V college of Engineering, Bangalore

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

Speed Optimised CORDIC Based Fast Algorithm for DCT

Speed Optimised CORDIC Based Fast Algorithm for DCT GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 Speed Optimised CORDIC

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

Study, Implementation and Survey of Different VLSI Architectures for Multipliers

Study, Implementation and Survey of Different VLSI Architectures for Multipliers Study, Implementation and Survey of Different VLSI Architectures for Multipliers Sonam Kandalgaonkar, Prof.K.R.Rasane Department of Electronics and Communication Engineering, VTU University KLE s College

More information

An Efficient FPGA Implementation of the Advanced Encryption Standard (AES) Algorithm Using S-Box

An Efficient FPGA Implementation of the Advanced Encryption Standard (AES) Algorithm Using S-Box Volume 5 Issue 2 June 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org An Efficient FPGA Implementation of the Advanced Encryption

More information

Testability Design for Sleep Convention Logic

Testability Design for Sleep Convention Logic Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 561-566 Research India Publications http://www.ripublication.com Testability Design for Sleep Convention

More information

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool Performance Analysis, Designing and Testing 512 Bit Sram emory Chip Using Xilinx/odelsim Tool onika Solanki* Department of Electronics & Communication Engineering, B Engineering College, Jodhpur, Rajasthan

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for

More information

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs

Abstract. Literature Survey. Introduction. A.Radix-2/8 FFT algorithm for length qx2 m DFTs Implementation of Split Radix algorithm for length 6 m DFT using VLSI J.Nancy, PG Scholar,PSNA College of Engineering and Technology; S.Bharath,Assistant Professor,PSNA College of Engineering and Technology;J.Wilson,Assistant

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED

CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED 1 St National Conference on Teaching Innovations and Enhancing Learning (Arts, Science And Technology) CONTENT ADDRESSABLE MEMORY WITH EFFICIENT POWER OPTIMIZATION OF SPEED CONSUMPTIONS AND M. Karthik

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

Design a floating-point fused add-subtract unit using verilog

Design a floating-point fused add-subtract unit using verilog Available online at www.scholarsresearchlibrary.com Archives of Applied Science Research, 2013, 5 (3):278-282 (http://scholarsresearchlibrary.com/archive.html) ISSN 0975-508X CODEN (USA) AASRC9 Design

More information

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications Research Journal of Applied Sciences, Engineering and Technology 7(23): 5021-5025, 2014 DOI:10.19026/rjaset.7.895 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT USING VERILOG LANGUAGE MANIT KANTAWALA Dept. of Electronic & Communication Global Institute of Technology, Jaipur Rajasthan, India Abstract: In this Paper

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Academic Record Degree Discipline Institute University Year Award Master of. First Class Technology

Academic Record Degree Discipline Institute University Year Award Master of. First Class Technology Naveen R Chanukotimath Assistant Professor Dept. of Information Science & Engineering GM Institute of Technology Davanagere - 577006 Karnataka State, INDIA Contact No. +91 9449626528 E-Mail: naveenrcm@gmit.ac.in

More information

PHOTO. 6 months -Seleicon Electronics 19.6 YRS Number of Workshops. Publications: Conference Journal National & International

PHOTO. 6 months -Seleicon Electronics 19.6 YRS Number of Workshops. Publications: Conference Journal National & International Name: K.LAKSHMI JOSHITHA Designation: ASSISTANT PROFESSOR-GR I PHOTO Qualification: Area of specialization: Experience : (As On May2014) Number of workshop / FDP attended: M.E,(Ph.D) WIRELESS SENSOR NETWORKS

More information

Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K

Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K Mr.Arshadali Rahut Department of Electronics & Communication Engineering B.V.B College of Engineering

More information

Chip Design for Turbo Encoder Module for In-Vehicle System

Chip Design for Turbo Encoder Module for In-Vehicle System Chip Design for Turbo Encoder Module for In-Vehicle System Majeed Nader Email: majeed@wayneedu Yunrui Li Email: yunruili@wayneedu John Liu Email: johnliu@wayneedu Abstract This paper studies design and

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

An Integrated Skew Detection And Correction Using Fast Fourier Transform And DCT

An Integrated Skew Detection And Correction Using Fast Fourier Transform And DCT An Integrated Skew Detection And Correction Using Fast Fourier Transform And DCT Mandip Kaur, Simpel Jindal Abstract: Skew detection and correction is very important task before pre-processing of an image

More information

Keywords: throughput, power consumption, area, pipeline, fast adders, vedic multiplier. GJRE-F Classification : FOR Code:

Keywords: throughput, power consumption, area, pipeline, fast adders, vedic multiplier. GJRE-F Classification : FOR Code: Global Journal of Researches in Engineering: F Electrical and Electronics Engineering Volume 14 Issue 6 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

An Efficient VLSI Architecture of 1D/2D and 3D for DWT Based Image Compression and Decompression Using a Lifting Scheme

An Efficient VLSI Architecture of 1D/2D and 3D for DWT Based Image Compression and Decompression Using a Lifting Scheme IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. I (Sep. - Oct. 2016), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org An Efficient VLSI Architecture

More information

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Yojana Jadhav 1, A.P. Hatkar 2 PG Student [VLSI & Embedded system], Dept. of ECE, S.V.I.T Engineering College, Chincholi,

More information

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder Syeda Mohtashima Siddiqui M.Tech (VLSI & Embedded Systems) Department of ECE G Pulla Reddy Engineering College (Autonomous)

More information

The Design of TMA Gain Adjustment Based on AISG2.0

The Design of TMA Gain Adjustment Based on AISG2.0 The Design of TMA Gain Adjustment Based on AISG2.0 Manjunath H A PG Student, R. V. College of Engineering, Bengaluru 560079, VTU Belagavi, India Chethana G Assistant Professor, R. V. College of Engineering,

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Implimentation of A 16-bit RISC Processor for Convolution Application

Implimentation of A 16-bit RISC Processor for Convolution Application Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 4, Number 5 (2014), pp. 441-446 Research India Publications http://www.ripublication.com/aeee.htm Implimentation of A 16-bit RISC

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information