Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003

Size: px
Start display at page:

Download "Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003"

Transcription

1 Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003 This document describes the new features and enhancements in Cadence SPB products in 16.6 Quarterly Incremental Release (QIR) 3. The products covered are: Cadence SiP Layout and Allegro Package Designer (APD) Virtuoso SiP Architect OrCAD Capture Cadence PSpice July Product Version 16.6

2 This document describes the new features and enhancements in 16.6 Quarterly Incremental Release (QIR). Step Model Support for accurate 3D viewing Route Interconnect Optimization Productivity Enhancements Database and Miscellaneous Enhancements RF PCB Enhancements Step Model Support for accurate 3D viewing The products currently provide 3D viewing of an Allegro board drawing based on the open drawings layer visibility and object selection. The 3D viewer provides a basic rendering of board geometry, conductors, via structures and component geometry with little or no detail also referred to as block style or skyscraper viewing. The desire by users for a solution that provides more detail in component modeling to assure proper clearances and positioning that the block style viewing does not provide has been addressed thru the use of STEP models. An update to Allegro 16.6 (QIR) provides users the ability to map package and mechanical symbols to STEP models, display the STEP model in the Allegro 3D viewing tool, and to export the Allegro board drawing as a STEP model. The capability of including enclosures associated with the board is also provided for positioning and collision detection. Symbol to STEP Model Mapping STEP models are associated to Allegro package and mechanical symbol models through a mapping tool. This mapping tool sets the STEP model name to the symbol and defines offset information to correctly position the STEP model in the 3D viewer. The mapping data created is then instantiated into the Allegro symbol as a property. Step model mapping is supported in both the Symbol and PCB Editor. July Product Version 16.6

3 Menu Path for Step Mapping Setup Step Package Mapping Figure 11- STEP model mapping 3D Viewer with STEP models Once STEP model mapping is completed, the Allegro 3D viewer will display the graphical representations of the STEP models. The 3D viewer relies on the currently visible layers in July Product Version 16.6

4 the Allegro PCB editor to determine what is displayed in the viewer. To view the 3D STEP models, the following CLASS/SUBCLASSES must be visible in the window: PACKAGE GEOMETRY/PLACE_BOUND_TOP PACKAGE GEOMETRY/PLACE_BOUND_BOTTOM MANUFACTURING/STEP3D_ASSEMBLY_ENCLOSURE Figure 1-2 Display of STEP models using standard 3D viewer Mechanical Step Model Support The ability to view other objects, such as shields and housings is possible if those objects are represented by STEP models. Allegro STEP model support provides the ability to map these other type objects. Once mapped to the board design, the 3D viewer will display these models where the user can then visually check for any collisions or other component placement issues. July Product Version 16.6

5 Mechanical STEP models must be placed within the path defined by the steppath variable. The STEP Package Mapping tool will list the mechanical STEP model as an entry in the Available STEP Models list. The mapping tool contains two buttons, Add Mech and Delete Mec. The Add Mech creates a board or mechanical symbol that represents the mechanical model (enclosure) that the STEP model is mapped to. This board symbol used for mapping is placed on the board drawing origin. The offset values defined in the mapping tool position the enclosure STEP model onto the proper location and orientation in the board drawing. Figure 1-3 Import of Mechanical STEP Model Export Allegro database as Step model STEP models are used in various ways in the mechanical design environment such as checking for form and fit. Allegro STEP model support provides the ability to export an Allegro board drawing as a STEP model for use in a mechanical design environment. STEP model export supports AP203, AP204 and AP242 protocols, standard units, and various output option to minimize or maximize STEP model data. Potential for very large STEP model files exists when exporting STEP Model Parts and external copper data. July Product Version 16.6

6 Figure 1-4 STEP Export User Interface Route Interconnect Optimization A major effort targeted at improving the productivity and efficiency aspects of the interactive routing environment continues into the post 16.6 Quarterly Incremental Releases. Auto- Interactive Breakout Technology (AiBT) Split View Zoom Swap Views Auto Interactive Add Connect Detune Auto- Interactive Breakout Technology (AiBT) Auto Interactive Breakout (AiBT) technology improves user efficiency for generating breakout routing from a component, for one or both sides of a bus/interface. AiBT can be used with the new, SplitView and BundleSequence commands, to dramatically shorten the time required to develop a high-quality and properly ordered breakout solution. Menu Path for AiBT Route Unsupported Prototypes Menu Enable Auto-interactive Breakout July Product Version 16.6

7 Enabling this menu, adds new menu commands to the popup menu, that allow access to AiBT. This menu needs to be enabled for each session of. The Enable Auto-interactive Breakout menu item is currently only available when: Running Allegro with the Design Planning option, or GXL license. Running SiP or APD with the SiP license. After creating bundles within Allegro s Interconnect Flow Planning Environment, hover over a bundle then use the RMB context sensitive menu to access the relevant commands for breakout. Auto-I BreakOut Both Ends This command causes both ends of the selected Bundle/Ratsnest to automatically generate breakout routing. Auto-I BreakOut Closest End This command causes the closest end of the selected Bundle/Ratsnest to automatically generate breakout routing. The other end of the Bundle/Ratsnest remains unaffected. The closest end is determined by measuring the straight line distance from the location of the RMB menu invocation by the user, and each gather end point of the Bundle. It is recommended to use Closest End commands in the direct vicinity of one Bundle gather location so it is obvious which end is being modified. July Product Version 16.6

8 Auto-I. Route Trunk This command will generate the interconnect between existing breakouts. For now, the "Trunk Router" will create routing only when breakouts exist at both ends of the connection. In the images below, you hover over anywhere along the "Flow Trunk" and execute the command. The right image in figure below shows the results of an Auto-I. Route Trunk command. Bundle Sequences Sequences control the routing order of the rats of a bundle. The sequence is displayed visually on the canvas and the user can modify the order. The sequence order at each gather point (bundle end) is the inverse of the other end. Changes at one end of the bundle sequence also affect the other end. Bundles with multiple routing layers allowed can assign specific layers to each rat in a sequence. July Product Version 16.6

9 If a sequence does not already exist on a bundle, AiBT will generate a sequence after a breakout command completes. The sequence generated will match the breakout created on the side of the bundle where the breakout command was run. If a sequence exists, AiBT forces the breakout commands to match the routing result to the sequence. AiBT will create routing DRCs if that is the only possible way to match the existing sequence. (this behavior allows the user to see problems with the sequence and get exactly what has been specified). Split View The Split View technology is a new capability that allows the user to view another area of the design canvas, while still working with the standard main editing canvas. July Product Version 16.6

10 This technology is extremely effective for breakout routing solutions, where the user can now visually see both end of the bus/interface and make decisions/edits to both ends at the same time. Zoom Swap Views This command is also located on the Unsupported Prototype Menu. This command will swap what the user currently sees between the Split View canvas and Main canvas. Using this command easily allows the user to flip to the other end of the bus and perform edits/ commands in the main window. (this command improves the current use model since both canvases are not fully editable). Auto Interactive Add Connect AiAC is a new version of the existing Add Connect command. This new version provides two primary modes of operation: Manual and Auto. The Manual mode preserves the traditional functionality and behavior of Add Connect, while the Auto mode introduces a cursor based auto-routing methodology that provides cleaner route paths with fewer interactive clicks. There is also a new secondary mode of operation called Scribble. Scribble routing can be activated from within both Manual and Auto modes. July Product Version 16.6

11 Auto Mode vs. Manual Mode In open space routing, Auto and Manual mode results will be very similar. However, when routing through obstacle fields and over larger distances of the canvas, the results will diverge as Auto mode develops a unique solution using auto-routing algorithms, while Manual mode uses a direct path while bubbling around obstacles. Manual Add Connect Auto-I Add Connect Scribble mode routing Scribble is a simple routing mode that allows the user to scribble a route path onto the canvas. Once a click is made, the etch solution for the scribble path will be generated. July Product Version 16.6

12 Scribble provides a quick two pick methodology to generate complex route paths, along with a very controlled usage of push/shove based on the scribble path. Scribble Path Route results Detune The Detune command automatically removes standard tuning bumps and phase bumps from cline routing. Users interactively select clines or cline segments and Detune identifies appropriate bumps and removes them from the cline, leaving the rest of the cline routing unchanged. The Detune command increases user efficiency by quickly removing timing and phase compensation, to allow easier modifications to the routing. Previously, users were forced to manually remove these bumps or delete and replace the routing. July Product Version 16.6

13 Productivity Enhancements Slide Enhancement New Variable Restores Line Width Retention to legacy behavior Allegro Drafting Prototypes Delete by Line Delete by Rectangle Offset Copy Offset Move Add Perpendicular Line Slide Enhancement The Extend Selection option in slide command now provided three choices: Segments: Extends selection to adjacent segments. By default this option is selected. Vias: Extends selection to adjacent vias. Segments and Vias: Extends selection to both segments and vias. New Variable Restores Line Width Retention to legacy behavior When the following variable is enabled, user defined line width will reset to constraint defined upon re-invoking the add connect command. This restores the behavior of the command with respect to its handling with line width overrides to pre-16.6 behavior. Variable = acon_no_width_override_retain. Allegro Drafting Prototypes Drafting command prototypes are now available for evaluation within all products via the following means. Open the User Preferences Editor from the menu by selecting Setup > User Preferences. Select the Unsupported category, check off Drafting Unsupported Prototypes, and press OK. July Product Version 16.6

14 Restart Allegro The unsupported drafting prototypes will now be available in the menus, either under Edit > Unsupported Prototypes or Manufacture > Drafting > Unsupported Prototypes. They will also be available in General Edit application mode when hovering over the applicable objects and pressing the right mouse button (RMB). Delete by Line This command allows users to remove the portion of lines, arcs, and segments lying on one side of a user-specified cut line. When invoked from the menu, the user is prompted Select object(s) to cut, after which the user is prompted Specify start point of cut line, and subsequently, after a start point is selected, Specify end point of cut line. Once the user specifies two points on the canvas, he is then prompted Select the side to remove. When the user next specifies a point on the canvas, all of the selected objects on the same side of the cut line as that point are removed. (Note that if a segment is selected, the cut extends only July Product Version 16.6

15 to the end of that segment. If a line/cline is selected, the cut extends across segments to the end of the line.) Delete by Rectangle This command allows users to remove the portion of lines, arcs, segments, and vias lying within a user-specified cut rectangle. When invoked from the menu, the user is prompted Select object(s) to cut, after which the user is prompted Specify start point of cut rectangle, and subsequently, after a start point is selected, Specify end point of cut rectangle. Once the user specifies two points on the canvas, the portions of the preselected objects lying within the cut rectangle are removed. July Product Version 16.6

16 Offset Copy This command allows users to make multiple copies of a variety of objects offset from the original(s) by a specific X and/or Y value. When invoked from the menu, the options dialog in the Allegro mini-status area is updated to provide fields for entering X and Y offset values, the number of repetitions to perform, and width/font values that can be applied to any line objects created, and the user is prompted Select the element(s) to copy. As the user selects objects, copies are created offset from the original location by the values presently specified in the options dialog. Offset Move This command allows users to move a variety of objects by a specific X and/or Y offset. When invoked from the menu, the options dialog in the Allegro mini-status area is updated to provide fields for entering X and Y offset values, and the user is prompted Select element(s) to move. As the user selects objects they are moved from their current location by the offset presently specified in the options dialog Add Perpendicular Line This command allows users to add a line perpendicular to another line already in the design. When invoked from the menu, the user is prompted Specify reference object of start point. After a pick is made, a rubber band line appears, with the reference object or start point as its July Product Version 16.6

17 first end point, and the user is prompted to specify either the end point or reference object. Once another pick is made, a line is added perpendicular to the reference object and extending from that object to the start/end point. July Product Version 16.6

18 Database and Miscellaneous Enhancements Pastemask DRC update Database Diary Retain Pop-up window locations when using multiple monitors Roaming Aligned with HDL Missing Fillets Report Pastemask DRC update Shapes drawn on the Package Geometry Top and Bottom subclasses are now factored into the DRC check. Pin to pin suppression on same symbol Nodrc_Sym_Pin_Pastemask Database Diary Now available using the PCB Design L License Retain Pop-up window locations when using multiple monitors Retention of negative values of pop-up location in allegro.ini file with multiple monitors is now supported. Roaming Aligned with HDL Set User Preference Variable, designhdl_pan, to change the behavior of the PCB Editor roam function to align with the HDL. When enabled, the roam is performed in the same direction as the mouse movement. The variable is located in the Display Roam category. Missing Fillets Report Missing fillets are no longer reported at pin/via locations when line width is equal to the joining pad size. July Product Version 16.6

19 RF PCB Enhancements In this release, several enhancements have been made in RF PCB to increase productivity. Layout Enhancements Selecting User Specified Connect Pin RF Routing Enhancements Autoplace Enhancements Discrete Library Translator Enhancements Layout Enhancements This module includes the enhancements in the following commands: Modify Connectivity Snap Clearance Modify Connectivity The rf_modify_net command is enhanced to support swapping of nets on the pins of an RF component. To use this functionality, a new option Swap pin nets is added to the Options tab. Before pin swapping After pin swapping Note: This command is disabled if Snap and Auto Shove option is checked. July Product Version 16.6

20 Snap In case of multiple pins, it is now easier to pick the destination pins while snapping. The rf_snap command now displays the RF pins on the top of the drop-down list for pins. You can also pick the destination pin directly on the canvas to confirm the snap operation. Clearance The filter settings are now retained by the tool when initializing clearances using rf_ac_init command, even after the command is exited. Selecting User Specified Connect Pin In the SPB16.6 release, when you place, copy, edit or insert a multi-pin RF component, to choose a connect pin you need to traverse the pins sequentially. This functionality of selecting connect pin is enhanced to support the direct pick of the required connect pin on the dynamic display of the RF component outline. July Product Version 16.6

21 When inserting a multi-pin RF component, the new right-click menu Pick Connect Pin allows you to directly pick the desired connect pin of a multi-pin RF component as shown in the following figure. Before Picking connect pin After Picking connect pin The cursor dynamics changes to reflect the selection. Choose Pick Exit Pin option to continue the routing. This enhancement is available in rf_add_component, rf_scaled_copy, and rf_add_connect commands. RF Routing Enhancements The rf_add_connect command is enhanced to provide following usability features: Line Width Retention Automatic Layer Switching Undo of Line Width Change July Product Version 16.6

22 Line Width Retention Till last release, you can override the line width values but they are not preserved. The manually set values for line-widths are now saved as a global settings and available in the drop-down list for further use. Automatic Layer Switching Starting from this release, the rf_add_connect command now supports dynamic layer inheritance. While routing with Snap to connect point, the tool now automatically selects an appropriate routing layer, based on already placed RF components. July Product Version 16.6

23 Undo of Line Width Change The rf_add_connect command now restores the previous line width value while routing with Variable line width and Snap to connect point. Autoplace Enhancements In the last release, grouping functionality was introduced in the schematic for defining and managing RF groups for auto placement in the layout. To improve the autoplace performance, an enhancement has been made to support grouping functionality in the layout also. New Group menu is added for RF group creations. The details of grouping commands are as follows: Group Add: Attach a property (RFGROUP) to the selected components. Group Disband: Remove the RFGROUP property from each RF component for the specific group. July Product Version 16.6

24 Group Exclude: Remove the RFGROUP property for selected objects. Group Display: Highlight/report the RF components within a specific group. Discrete Library Translator Enhancements The Allegro Discrete Library to Agilent ADS Translator is enhanced to: retains the unit of footprint in the output. converts the symbol origin to Pin1location while translating Allegro library. July Product Version 16.6

25 Cadence SiP Layout and Allegro Package Designer (APD) Cadence SiP Layout and Allegro Package Designer (APD) This section describes the new features and enhancements in SiP Layout and Allegro Package designer (APD) 16.6 QIR. Wirebond Lead Frame Bonding Rename Padstack Create Fanout Package Overlay Generation for IC Designs Assembly Rule Check Enhancements Die Editor Enhancements Enhancements in Stream Import (load stream) Preserving Rat Bundle in Auto Assign Net Command DXF Out Default Color Mapping Unsupported Prototype Menus July Product Version 16.6

26 Cadence SiP Layout and Allegro Package Designer (APD) Wirebond Lead Frame Bonding You can use the wirebond bond leads (Route Wire Bond Bond to Leadframe) command to automatically wire the die pads to the leads in a lead frame package. The command bonds the die pads to the leads based on net names. This command is available from the Auto Bond Lead Frame option in the Wire Bond Application mode. You can set the lead frame package type for a design using the config package type command (Route Wire Bond Set Package Type). The lead frame package type impacts which bond locations are wired on a lead. It also impacts the lead frame offset distances if the distances are defined in the wire profile set for the design. Rename Padstack The rename padstack command (Tools Padstacks Rename) allows you to change the name of existing padstacks in a design. This command changes all references for the changed padstack name such as all constraint via lists; all via, bond finger, and pin references to the padstack; and any stored name mappings. Create Fanout You can now use the Fanout commands from SiP Layout and APD to: July Product Version 16.6

27 Cadence SiP Layout and Allegro Package Designer (APD) Create clines and vias and connect them to chosen pins or symbols. Duplicate a fanout pattern from one component to all other instances of that symbol definition. Associate clines and vias with their respective component symbol instances. Disassociate clines and vias from their respective component symbol instances Package Overlay Generation for IC Designs Package overlay generation is possible in using the pkg ic overlay export command (File Export Package Overlay File for IC). This command exports an XML file describing the package geometry objects. This XML file can be opened in loaded EDI in the Floorplan view using the readpackage command to display the package overlay as a readonly overlay. Note: The feature is only available for co-design dies and is available in tools that support codesign dies. Assembly Rule Check Enhancements The minimum shape check and minimum void check Assembly Rule Checks have been greatly enhanced to eliminate a lot of DRCs because small segments were really just trying to create a smooth curve transition. On designs with many large complex shapes, the number of DRCs has been reduced significantly by eliminating these failures to make the tool much easier to use. July Product Version 16.6

28 Cadence SiP Layout and Allegro Package Designer (APD) Die Editor Enhancements You can now copy pins during co-design using the Die Editor. In addition, the Die Editor Component Editing page has been enhanced with the following options: Cursor offset in the Pins tab: In combination with the snap to functionality, allows you to add, move, or copy pins and place them at a relative offset to another object Custom in the Pins and Drivers tabs: Allows you to hide specific rats. LEF Macro in the Pins tab: When editing a distributed co-design die, allows you to pick new cell master for a pin when modifying it. The changes will be in the DIA file when it is generated from SIP to send back to the IC designer. Show Elem: Lets you display information about objects that you select on the canvas. July Product Version 16.6

29 Cadence SiP Layout and Allegro Package Designer (APD) Enhancements in Stream Import (load stream) The Stream In dialog box provides various enhancements that let you: Set the origin to use for the cursor (Cursor origin) Specify the rotation of an imported stream (Placement rotation) Select to apply a mirror geometry (Mirror around origin) Preserving Rat Bundle in Auto Assign Net Command Using the Optimize existing assignments option in the auto assign net command preserves existing rat bundles while reassigning existing assignments that had rat bundles or flow plans associated with the affected net connections. Note that bundles are preserved only for nearest match. DXF Out Default Color Mapping DXF out defaults to the color mapping which matches colors to the layers in the database (Match Database Colors), rather than defaulting to assigning a unique color index from the 256 available colors in sequential order. Unsupported Prototype Menus Starting 16.6 release, the Route Unsupported Prototype and the Tools Unsupported Prototype menus will always be visible; variable is no longer required. Do check this location periodically for prototype applications. The Tools Unsupported Prototype Layer Compare provides a set of commands, designed to be used together to analyze differences between different layers of a design or between different versions of designs. Choose the Help on Unsupported Utilities menu option for detailed help on the prototype features. July Product Version 16.6

30 Virtuoso SiP Architect Virtuoso SiP Architect Difference Viewer Enhancements In SPB 16.6 QIR 3, the difference viewer is enhanced to show the different categories of changes for bumps and drivers. Starting this release, you have the option to group the changes in different categorized based on the type of operation causing the changes. Supported categories of changes listed in difference viewer are: Move Delete Add Swap Replace Net-Assigned Net-Unassigned Place Un-place You can use the difference viewer color settings to display each group is a separate color. July Product Version 16.6

31 Virtuoso SiP Architect While updating a design, you can now either select all changes, or selectively update only a category of changes. Selective updates can be done using one of the following methods: Select all changes under a category Select individual changes While selectively updating design with all the changes in a category, it is recommended that precedence rules listed in the following table are followed. Operation ADD MOVE SWAP - REPLACE - DELETE - Operations With Higher Precedence MOVE, DELETE DELETE Changes because of operations with higher precedence are implemented first and are automatically selected. For example, changes in the MOVE and DELETE category have a higher precedence than the ADD. So if you choose to import only the changes under the ADD category, changes under MOVE and DELETE are also imported. Changes in the SWAP, REPLACE and DELETE categories can be imported independently. July Product Version 16.6

32 Virtuoso SiP Architect Figure 1-5 on page 36 shows the changes made to a co-design die in SiP Layout. When you import these changes in Virtuoso Layout, the modifications are displayed in the difference viewer, as shown in Figure 1-6 on page 36. Figure 1-5 Co-design die modified 3 Bumps deleted in SiP Layout 3 Bumps Added in SiP Layout DiE Footprint in Virtuoso Layout Co-design die modified in SiP Layout by deleting bumps and adding new bumps. Figure 1-6 Changes Listed in Difference Viewer If you now select Add, the Delete check box is automatically selected. However, if you choose to import only the changes in the ADD category, you may do so by deselecting the DELETE check box. This might result in overlapping bumps as shown in Figure 1-7 on page 37. July Product Version 16.6

33 Virtuoso SiP Architect Figure 1-7 Importing Selective Changes in Virtuoso Overlapping bumps in Virtuoso July Product Version 16.6

34 OrCAD Capture OrCAD Capture This section describes the new features and enhancements in OrCAD Capture 16.6 QIR. Object Alignment and Distribution in OrCAD Capture Enhancements in Place Part Object Alignment and Distribution in OrCAD Capture In OrCAD Capture, you can now align the selected Capture objects vertically (Top, Middle, and Bottom) or horizontally (Left, Center, and Right) with reference to another selected Capture object. Using this feature, you can also distribute the selected capture objects horizontally or vertically within a virtual selection bounding box. This feature also provides a Mouse Mode option to align selected objects on a schematic page with reference to the mouse click or equally distribute the selected objects within two selected points. Note: All alignment and distribution tasks are available from the Edit menu. These tasks are also accessible from the Align toolbar. July Product Version 16.6

35 OrCAD Capture Enhancements in Place Part Using Reload Library Parts in the Place Part dialog, you can now access the library that has been updated with a modified part, or that has any new parts added or deleted by the librarian working at a remote location, without relaunching Capture. July Product Version 16.6

36 Cadence PSpice Cadence PSpice This section describes the new features and enhancements in Cadence PSpice 16.6 QIR. Advanced Analog Options Advanced Analog Options New options have been added to the Advanced Analog Options dialog box in the following areas: Shunt Capacitance (CSHUNT) Diode Ohmic Resistance (DIODERS) Diode Junction Capacitance (DIODECJO) Alternate Path Search (TRANCONV) July Product Version 16.6

37 Cadence PSpice Debugging Convergence Failure (CONVAID) Bipolar Junction Transistor (BJT) Capacitance (BJTCJ) July Product Version 16.6

38 Cadence PSpice July Product Version 16.6

Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38)

Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38) Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38) This document describes the new features and enhancements in Cadence SPB products in 16.6 Quarterly Incremental Release (QIR) 8- HotFix38. The products

More information

STEP Model Support in PCB Editor

STEP Model Support in PCB Editor A Parallel Systems Technical Note STEP Model Support in PCB Editor Overview The PCB Editor products currently provide 3D viewing of a BRD (board drawing) based on the open drawings layer visibility and

More information

Lesson 11: Interactive Routing and Glossing

Lesson 11: Interactive Routing and Glossing 11 Lesson 11: Interactive Routing and Glossing Learning Objectives In this lesson you will: Define and display etch grids used for routing Create via fanouts Add and delete connect lines (clines) and vias

More information

OrCAD PCB Editor Menu comparison

OrCAD PCB Editor Menu comparison A Parallel Systems Technical Note OrCAD PCB Editor menu comparison OrCAD PCB Editor Menu comparison Cadence introduced a new easy to use menu in the 16.6-2015 (Hotfix S051) release. This new menu structure

More information

Lesson 5: Board Design Files

Lesson 5: Board Design Files 5 Lesson 5: Board Design Files Learning Objectives In this lesson you will: Use the Mechanical Symbol Editor to create a mechanical board symbol Use the PCB Design Editor to create a master board design

More information

Lesson 11: Routing and Glossing

Lesson 11: Routing and Glossing 11 Lesson 11: Routing and Glossing Learning Objectives In this lesson you will: Define and display etch grids used for routing Create via fanouts Add and delete connect lines (clines) and vias Use Slide

More information

Lesson 9: Advanced Placement Techniques

Lesson 9: Advanced Placement Techniques 9 Lesson 9: Advanced Placement Techniques Learning Objectives In this lesson you will: Turn ratsnests on and off to selectively place components Use interactive swapping for pins and gates Apply advanced

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

FlowCAD. FlowCAD Webinar. OrCAD / Allegro PCB Editor Trucs et astuces November 2012

FlowCAD. FlowCAD Webinar. OrCAD / Allegro PCB Editor Trucs et astuces November 2012 FlowCAD Webinar OrCAD / Allegro PCB Editor Trucs et astuces 8. November 2012 Print Screen from the Canvas Open Windows Explorer with the working folder Z-Copy: Copy a Shape to another Layer Z-Copy: Copy

More information

Lesson 8: Component Placement

Lesson 8: Component Placement 8 Lesson 8: Component Placement Learning Objectives In this lesson you will: Using floorplanning to organize the placement of components with the same ROOM property Assign reference designators to preplaced

More information

Lesson 7: Setting Design Constraints

Lesson 7: Setting Design Constraints 7 Lesson 7: Setting Design Constraints Learning Objectives In this lesson you will: Explore the design rule system and apply design rules for physical and spacing dimensions Add, change, and delete properties

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

Schematic Editing Essentials

Schematic Editing Essentials Summary Application Note AP0109 (v2.0) March 24, 2005 This application note looks at the placement and editing of schematic objects in Altium Designer. This application note provides a general overview

More information

Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment

Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment 2 Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment Learning Objectives In this lesson you will: Control the color and visibility of objects Create and use scripts Use the Control Panel

More information

Creating a PCB Design with OrCAD PCB Editor

Creating a PCB Design with OrCAD PCB Editor Creating a PCB Design with OrCAD PCB Editor This guide is focused on learning how to create a PCB (Printed Circuit board) design. The guide will make use of the PCB Flow menu that is part of this workshop

More information

University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab

University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab Preparing For Export... 1 Assigning Footprints... 1 Recommended Footprints... 2 No Connects... 3 Design Rules Check... 3 Create

More information

SPB 16.6 What s New PCB Editor

SPB 16.6 What s New PCB Editor SPB 16.6 What s New PCB Editor February 2013 Productivity Enhancements 7 1 Embedded Net Names New display option overlays net names within Clines Pins Shapes Flow Lines Display setting located in Design

More information

Lesson 5: Creating Heterogeneous Parts

Lesson 5: Creating Heterogeneous Parts Lesson 5: Creating Heterogeneous Parts Lesson Objectives After you complete this lesson you will be able to: Create a Heterogeneous part Annotate a Heterogeneous part (Optional) Heterogeneous Parts A heterogeneous

More information

Orcad Layout Plus Tutorial

Orcad Layout Plus Tutorial Orcad Layout Plus Tutorial Layout Plus is a circuit board layout tool that accepts a layout-compatible circuit netlist (ex. from Capture CIS) and generates an output layout files that suitable for PCB

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Lesson 1: User Interface

Lesson 1: User Interface 1 Lesson 1: User Interface Learning Objectives In this lesson you will: Identify the user interface components of OrCAD PCB Editor. Navigate within the PCB Editor window and access UI features to tailor

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

Lesson 9: Processing a Schematic Design

Lesson 9: Processing a Schematic Design Lesson 9: Processing a Schematic Design Lesson Objectives After you complete this lab you will be able to: Assign reference designators Check the design for errors Create a netlist for OrCAD and Allegro

More information

Lesson 18: Creating a Hierarchical Block

Lesson 18: Creating a Hierarchical Block Lesson 18: Creating a Hierarchical Block Lesson Objectives After you complete this lesson you will be able to: Create hierarchical blocks Copying Schematics between Projects You can copy and paste between

More information

These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first.

These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first. Pulsonix Change Notes These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first. Version 3.1 Build 2273 : 18 Jul 2005 None.

More information

StickFont Editor v1.01 User Manual. Copyright 2012 NCPlot Software LLC

StickFont Editor v1.01 User Manual. Copyright 2012 NCPlot Software LLC StickFont Editor v1.01 User Manual Copyright 2012 NCPlot Software LLC StickFont Editor Manual Table of Contents Welcome... 1 Registering StickFont Editor... 3 Getting Started... 5 Getting Started...

More information

Use the Pad Designer to create padstacks for a number of typical pins, such as throughhole and surface-mount pads.

Use the Pad Designer to create padstacks for a number of typical pins, such as throughhole and surface-mount pads. 3 Lesson 3: Padstacks Learning Objectives In this lesson you will: Use the Pad Designer to create padstacks for a number of typical pins, such as throughhole and surface-mount pads. In this section you

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Nordcad Flow menus. Rev Nordcad Systems A/S

Nordcad Flow menus. Rev Nordcad Systems A/S Nordcad Flow menus Rev 1.00 2014 Nordcad Systems A/S www.nordcad.dk Support@nordcad.dk Purpose The purpose of the PCB and Footprint flow menus is to guide the user through a number of steps normally involved

More information

Lesson 12: Preparing for Post Processing

Lesson 12: Preparing for Post Processing 12 Lesson 12: Preparing for Post Processing Learning Objectives In this lesson you will: Rename reference designators on the board design Backannotate changes made in the OrCAD and Allegro PCB Editor to

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Release Highlights for BluePrint-PCB Product Version 3.0

Release Highlights for BluePrint-PCB Product Version 3.0 Release Highlights for BluePrint-PCB Product Version 3.0 Introduction BluePrint V3.0 Build 568 is a rolling release, containing defect fixes for 3.0 functionality. Defect fixes for BluePrint V3.0 Build

More information

Lesson 1: Getting Started with OrCAD Capture

Lesson 1: Getting Started with OrCAD Capture 1 Lesson 1: Getting Started with OrCAD Capture Lesson Objectives Discuss design flow using OrCAD Capture Learn how to start OrCAD Capture The OrCAD Capture Start Page Open an existing Project Explore the

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

What s New 16.6 QIR Update 3 OrCAD Capture & PSpice

What s New 16.6 QIR Update 3 OrCAD Capture & PSpice OrCAD Capture & PSpice What s New 16.6 QIR Update 3 OrCAD Capture & PSpice The following are the key list of features released as 16.6 QIR Update 3 Object Alignment Object Distribution Library Refresh

More information

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License Licensing Floating Networked License : 12 Months Maintenance Support Included In Purchase Price SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy

More information

OrCAD & Allegro V Comparaison des produits PCB Designer

OrCAD & Allegro V Comparaison des produits PCB Designer & V16.6-2015 Comparaison des produits SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy Capture Market place for Apps, Models, Symbols and more

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Version 16 Software Update Details. Problem Fixes in Version (18-Sep-2013) Problem Fixes in Version (17-Apr-2013)

Version 16 Software Update Details. Problem Fixes in Version (18-Sep-2013) Problem Fixes in Version (17-Apr-2013) Version 16 Software Update Details Problem Fixes in Version 16.0.9 (18-Sep-2013) o Editing a package in a library containing a user-defined package that uses a Prism would cause that Prism element to become

More information

PADS-PowerPCB 4 Tutorial (with Blazeroute)

PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB is the ultimate design environment for complex, high-speed printed circuit boards. PROCEDURE FOR SIMULATION IN SCHEMATICS 1. Importing Design Data

More information

Using OrCAD Layout Plus A Simple Guide

Using OrCAD Layout Plus A Simple Guide Using OrCAD Layout Plus A Simple Guide Written by Jose Cabral September 2006 Revised by Nithin Raghunathan 1 SKETCH THE CIRCUIT YOU WISH TO LAYOUT SKETCH THE LAYOUT COM J1 OUTPUT +12 COM -12 COM INPUT

More information

Electrical 3D Design & Documentation

Electrical 3D Design & Documentation Electrical 3D Design & Documentation Page 1 Overview Conventions User Tasks Using Electrical 3D Design & Documentation Entering the Electrical Assembly Design Workbench Entering the Electrical Part Design

More information

Protel 99 Installation Notes

Protel 99 Installation Notes Protel 99 Installation Notes Frozen Content Modified by Admin on Nov 21, 2013 Protel 99 SE Service Pack 6 Information Installation Notes To install the Service Pack run the downloaded file and follow the

More information

Questions? Page 1 of 22

Questions?  Page 1 of 22 Learn the User Interface... 3 Start BluePrint-PCB... 4 Import CAD Design Data... 4 Create a Panel Drawing... 5 Add a Drill Panel... 5 Selecting Objects... 5 Format the Drill Panel... 5 Setting PCB Image

More information

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University Brief overview: OrCad is a suite of tools from Cadence for the design and layout of circuit design and PCB design. We are currently using version

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

FlowCAD. FloWare. Whats New. Version 2017 Q2 01

FlowCAD. FloWare.  Whats New. Version 2017 Q2 01 www..de FloWare 1 Whats New Version 2017 Q2 01 www..de Floware 2017 Q2-01 FloWare Installer New module Executable which guides users through the installation Silkscreen New module Silkskreen generation

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

Pipe Networks CHAPTER INTRODUCTION OBJECTIVES

Pipe Networks CHAPTER INTRODUCTION OBJECTIVES CHAPTER 11 Pipe Networks INTRODUCTION Pipe networks are integral to a site-design solution. The piping system s complexity can vary from simple culverts to several storm and sanitary networks that service

More information

Lesson 14: Property Editor

Lesson 14: Property Editor Lesson 14: Property Editor Lesson Objectives After completing this lesson, you will be able to: Work with Property Filters in the Property Editor Add part and net properties using the Property Editor Using

More information

Eagle PCB and PCB Library Translator. February 2016

Eagle PCB and PCB Library Translator. February 2016 Eagle PCB and PCB Library Translator February 2016 Eagle PCB and PCB Library Translator Translates Eagle PCB (.brd) and Libraries (.lbr) to PCB Editor 2 Cadence Design Systems, Inc., Cadence Confidential

More information

Graphical Cell Compiler

Graphical Cell Compiler Graphical Cell Compiler May 2003 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this material,

More information

Cycle through three routing modes (ignore, avoid or push obstacle) Toggle electrical grid on/off

Cycle through three routing modes (ignore, avoid or push obstacle) Toggle electrical grid on/off PCB Editor Shortcuts Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Parent article: Shortcut Keys PCB Editor Shortcuts + E + B + PAGE UP + PAGE DOWN Cycle through three routing

More information

Piping Design. Site Map Preface Getting Started Basic Tasks Advanced Tasks Customizing Workbench Description Index

Piping Design. Site Map Preface Getting Started Basic Tasks Advanced Tasks Customizing Workbench Description Index Piping Design Site Map Preface Getting Started Basic Tasks Advanced Tasks Customizing Workbench Description Index Dassault Systèmes 1994-2001. All rights reserved. Site Map Piping Design member member

More information

Release Highlights for CAM350 Product Version 10.7

Release Highlights for CAM350 Product Version 10.7 Release Highlights for CAM350 Product Version 10.7 Introduction CAM350 Version 10.7 is a support release that introduces new functionality, including encryption of CAM350 macros. New Functionality The

More information

Appendix B Import (CAD Transfer) Mode Keyboard Shortcuts

Appendix B Import (CAD Transfer) Mode Keyboard Shortcuts Import (CAD Transfer) Mode Keyboard Shortcuts Mode-Changing Keys E F H W V P Switch to Edit mode (press twice for Entry mode) Switch to Profile View mode Switch to Highway mode (if available) Switch to

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

GstarCAD Complete Features Guide

GstarCAD Complete Features Guide GstarCAD 2017 Complete Features Guide Table of Contents Core Performance Improvement... 3 Block Data Sharing Process... 3 Hatch Boundary Search Improvement... 4 New and Enhanced Functionalities... 5 Table...

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Guide to WB Annotations

Guide to WB Annotations Guide to WB Annotations 04 May 2016 Annotations are a powerful new feature added to Workbench v1.2.0 (Released May 2016) for placing text and symbols within wb_view tabs and windows. They enable generation

More information

GCC vinyl cutter, cutting plotter for sign making

GCC vinyl cutter, cutting plotter for sign making Plotter Setup In "Plotter Setup," you can choose "Plotter List," "Environment," "Pen," and so on. [Plotter list] In this area, you can choose the machine type and set some basic information for your plotter

More information

USING THE TRIBALL FOR POSITIONING

USING THE TRIBALL FOR POSITIONING USING THE TRIBALL FOR POSITIONING Although many important positioning tools are available, none are as versatile as the TriBall tool. This TriBall tool offers complete repositioning of many items: Shapes

More information

Lesson 2: Managing the PCB Editor Work Environment

Lesson 2: Managing the PCB Editor Work Environment 2 Lesson 2: Managing the PCB Editor Work Environment Learning Objectives In this lesson you will: Control the color and visibility of objects. Create and use scripts. Use the Control Panel to locate board

More information

Tutorial : First board in CircuitMaker.

Tutorial : First board in CircuitMaker. Tutorial : First board in CircuitMaker. Objectives 1. Create a new project in CircuitMaker. 2. Design electronic circuit in CircuitMaker schematic editor. 3. Design a pcb board for your circuit in CircuitMaker

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Release Highlights for CAM350 Product Version 11.0

Release Highlights for CAM350 Product Version 11.0 Release Highlights for CAM350 Product Version 11.0 Introduction CAM350 Version 11.0 is a major release that introduces new functionality, including Intelligent CAD Data DFM checks for Streams RC, IPC-2581

More information

Introduction to PCB Design with EAGLE. Jianan Li

Introduction to PCB Design with EAGLE. Jianan Li Introduction to PCB Design with EAGLE Jianan Li Install EAGLE Download EAGLE: http://www.cadsoftusa.com/download-eagle/ Choose Run as Freeware during installation Create a New Project Launch EAGLE and

More information

Getting Started with PCB Design

Getting Started with PCB Design Getting Started with PCB Design Summary Tutorial TU0117 (v1.2) April 13, 2005 This introductory tutorial is designed to give you an overview of how to create a schematic, update the design information

More information

GRAFFY / HYDE - Information

GRAFFY / HYDE - Information GRAFFY / HYDE - Information Revision 12.1 30.11.2006 This file contains important information! Please read it carefully! Description of the GRAFFY/HYDE Enhancements 1.1 General Information about Revision

More information

Release Highlights for CAM350 Product Version 11.0

Release Highlights for CAM350 Product Version 11.0 Release Highlights for CAM350 Product Version 11.0 Introduction CAM350 Version 11.0 is a major release that introduces new functionality, including Intelligent CAD Data DFM checks for Streams RC, IPC-2581

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

FlowCAD Schweiz AG. Tel. +41 (0) Fax +41 (0) OrCAD Professional. Allegro Designer. OrCAD Standard

FlowCAD Schweiz AG. Tel. +41 (0) Fax +41 (0) OrCAD Professional. Allegro Designer. OrCAD Standard Licensing Floating Networked License 12 Months Maintenance Support Included In Purchase Price SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy

More information

SILVACO. An Intuitive Front-End to Effective and Efficient Schematic Capture Design INSIDE. Introduction. Concepts of Scholar Schematic Capture

SILVACO. An Intuitive Front-End to Effective and Efficient Schematic Capture Design INSIDE. Introduction. Concepts of Scholar Schematic Capture TCAD Driven CAD A Journal for CAD/CAE Engineers Introduction In our previous publication ("Scholar: An Enhanced Multi-Platform Schematic Capture", Simulation Standard, Vol.10, Number 9, September 1999)

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

PCB Design utilizing Cadence Software. Application Note

PCB Design utilizing Cadence Software. Application Note PCB Design utilizing Cadence Software Application Note Kyle Schultz 11-9-11 ECE 480 Design Team 5 Keywords: Schematic, PCB, Fabrication, Cadence, Design Entry CIS, Allegro Table of Contents Abstract 1

More information

Numbers Basics Website:

Numbers Basics Website: Website: http://etc.usf.edu/te/ Numbers is Apple's new spreadsheet application. It is installed as part of the iwork suite, which also includes the word processing program Pages and the presentation program

More information

TRAINING SESSION Q2 2016

TRAINING SESSION Q2 2016 There are 8 main topics in this training session which focus on the Sketch tools in IRONCAD. Content Sketch... 2 3D Scene Background Settings... 3 Creating a new empty Sketch... 4 Foam with cut out for

More information

Release Highlights for CAM350 Product Version 11.0

Release Highlights for CAM350 Product Version 11.0 Release Highlights for CAM350 Product Version 11.0 Introduction CAM350 Version 11.0 is a major release that introduces new functionality, including Intelligent CAD Data DFM checks for Streams RC, IPC-2581

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Allegro PCB Editor with Performance Option or higher. The Reuse function can be used to create panels in PCB Editor

Allegro PCB Editor with Performance Option or higher. The Reuse function can be used to create panels in PCB Editor Title: Product: Summary: Panelization with Reuse Allegro PCB Editor with Performance Option or higher The Reuse function can be used to create panels in PCB Editor Author/Date: Beate Wilke / 07.02.2011

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

1. Concepts and What s New Concepts What's New in E Getting Started Starting Electra Page Scale

1. Concepts and What s New Concepts What's New in E Getting Started Starting Electra Page Scale 1 1. Concepts and What s New... 6 1.1 Concepts... 6 1.2 What's New in E6... 7 2. Getting Started... 8 2.1 Starting Electra... 8 2.2 Page Scale... 8 2.3 Page Measurement Units... 9 2.4 Stencils and Drawing

More information

Published on Online Documentation for Altium Products (

Published on Online Documentation for Altium Products ( Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > Creating the PCB Footprint Using Altium Documentation Modified by Annika Krilov on Apr 11, 2017 Concept

More information

Converting MicroSim PCBoards Designs to OrCAD Layout Designs. Quick Start

Converting MicroSim PCBoards Designs to OrCAD Layout Designs. Quick Start Converting MicroSim PCBoards Designs to OrCAD Layout Designs Quick Start Copyright 1998 OrCAD, Inc. All rights reserved. Trademarks OrCAD, OrCAD Layout, OrCAD Express, OrCAD Capture, OrCAD PSpice, and

More information

2008 년안산일대디지털정보통신학과 CAD 강의용자료 PADS 2007

2008 년안산일대디지털정보통신학과 CAD 강의용자료 PADS 2007 2008 년안산일대디지털정보통신학과 CAD 강의용자료 PADS 2007 1 Learning the PADS User Interface What you will learn: Modeless Commands Panning & Zooming Object Selection Methods Note: This tutorial will use PADS Layout to

More information

The Rectangular Problem

The Rectangular Problem C h a p t e r 2 The Rectangular Problem In this chapter, you will cover the following to World Class standards: The tools for simple 2D Computer Aided Drafting (CAD) The Command Line and the Tray The Line

More information

Welcome to Constraint Manager

Welcome to Constraint Manager 1 Allegro Constraint Manager User Guide 1 Welcome to Constraint Manager Topics in this chapter include The Allegro Constraint Manager Information Set What is Allegro Constraint Manager? Accessing Constraint

More information

Input CAD Solid Model Assemblies - Split into separate Part Files. DXF, IGES WMF, EMF STL, VDA, Rhino Parasolid, ACIS

Input CAD Solid Model Assemblies - Split into separate Part Files. DXF, IGES WMF, EMF STL, VDA, Rhino Parasolid, ACIS General NC File Output List NC Code Post Processor Selection Printer/Plotter Output Insert Existing Drawing File Input NC Code as Geometry or Tool Paths Input Raster Image Files Report Creator and Designer

More information

Preparing the Board for Design Transfer. Creating and Modifying the Board Shape. Modified by Phil Loughhead on 15-Aug-2016

Preparing the Board for Design Transfer. Creating and Modifying the Board Shape. Modified by Phil Loughhead on 15-Aug-2016 Preparing the Board for Design Transfer Old Content - visit altium.com/documentation Modified by Phil Loughhead on 15-Aug-2016 This article describes how to prepare the new PCB file so that it is ready to

More information

Version Software Update Details Release Date 22-Sep Problem Fixes in Version

Version Software Update Details Release Date 22-Sep Problem Fixes in Version Version 12.0.6 Software Update Details Release Date 22-Sep-2009 Problem Fixes in Version 12.0.6 This is the final roll-up patch for Version 12. No further updates will be issued for this version. Add Shape

More information

Osmond Tutorial. First Page / J C Chavez / / Osmond Tutorial

Osmond Tutorial. First Page / J C Chavez / / Osmond Tutorial Osmond Tutorial Draft Version corresponding to Osmond PCB Design Version 1.0b2 November 30, 2002 J C Chavez http://www.swcp.com/~jchavez/osmond.html jchavez@swcp.com First Page / J C Chavez / jchavez@swcp.com

More information

Equipment Support Structures

Equipment Support Structures Equipment Support Structures Overview Conventions What's New? Getting Started Setting Up Your Session Creating a Simple Structural Frame Creating Non-uniform Columns Creating Plates with Openings Bracing

More information

Keynote 08 Basics Website:

Keynote 08 Basics Website: Website: http://etc.usf.edu/te/ Keynote is Apple's presentation application. Keynote is installed as part of the iwork suite, which also includes the word processing program Pages and the spreadsheet program

More information

Electrical Harness Flattening

Electrical Harness Flattening Electrical Harness Flattening Overview Conventions What's New? Getting Started Accessing the Electrical Harness Flattening Workbench Defining the Harness Flattening Parameters Extracting Data Flattening

More information

Procedure for PCBoard Layout

Procedure for PCBoard Layout Procedure for PCBoard Layout Introduction The following 6 pages of instructions will take you step by step through the creation of your PCB using Orcad Layout. If you are planning to manually lay out your

More information

Word 2013 Quick Start Guide

Word 2013 Quick Start Guide Getting Started File Tab: Click to access actions like Print, Save As, and Word Options. Ribbon: Logically organize actions onto Tabs, Groups, and Buttons to facilitate finding commands. Active Document

More information

QuickTutor. An Introductory SilverScreen Modeling Tutorial. Solid Modeler

QuickTutor. An Introductory SilverScreen Modeling Tutorial. Solid Modeler QuickTutor An Introductory SilverScreen Modeling Tutorial Solid Modeler TM Copyright Copyright 2005 by Schroff Development Corporation, Shawnee-Mission, Kansas, United States of America. All rights reserved.

More information