Mentor Graphics VLSI CAD Tutorials

Size: px
Start display at page:

Download "Mentor Graphics VLSI CAD Tutorials"

Transcription

1 VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA At the Design Center, School of Engineering, of Santa Clara University, we have developed a set of tutorials to help our students use Mentor Graphics Tools. The relationships of these tools to digital circuit design are outlined in the flowchart shown below. No previous knowledge of CAD tools is needed to use these tutorials. However, a certain level of knowledge of logic or circuit design is assumed. The tutorials have been used in undergraduate and graduate courses. We will be updating them as time permits and we look forward to your comments for improvement. (1 of 2)10/20/2007 4:02:23 PM

2 VLSI Design Flow Using Mentor-Graphics Tools If you have any suggestions, comments, or questions, please Last revision: February, (2 of 2)10/20/2007 4:02:23 PM

3 Schematic of an Inverter Circuit Using the Design Architect-IC (DA-IC) Santa Clara University Department of Electrical Engineering Date of Last Revision: September 22, 2006

4 Table of Contents 1. Objective Setup & Preparation Launching IC Studio Creating a project Opening icstudio and assigning the project a name Specifying Location Map Specifying Process files and other settings Creating a library and Cells...10 To Create a Library...10 Capturing a cell Schematic Entry Adding Components and Ports Wiring the components Adding Text / Changing Labels of Components Changing the Reference Number and Modifying other Properties Checking & Saving the Schematic Creating a Viewpoint Printing the Schematic Creating a Symbol...23

5 1. Objective This document contains a step-by-step tutorial for Mentor Graphics Design Architect tool to create the schematic of an inverter and then to generate its symbol. Simulation of the designed unit is covered in a separate tutorial.

6 2. Setup & Preparation The set of directives listed below is applicable to users of the Engineering Design Center at Santa Clara University. If you are working in a different environment please check with your system administrator. The steps below are necessary only for the first time to setup the Mentor Graphics environment by changing the settings in your.profile or.cshrc file. Add the following lines in your.profile: setup mentor alias swd= export MGC_WD=\ pwd\ Remember to execute $..profile If using C-shell add the following lines in your.cshrc: source /usr/local/scripts/setup.mentor csh alias swd= export MGC_WD=\ pwd\ Remember to execute $ source.cshrc

7 3. Launching IC Studio On the command line To Create a directory to contain your projects type: mkdir Tutorial To change the current directory to Tutorial type: cd Tutorial. To open ICSTUDIO type: icstudio&. This launches the ICStudio window shown below.

8 4. Creating a project To create a project the follow the three steps given below: 1. Opening icstudio and assigning the project a name On the ICStudio Window Click File -> New -> Project to create a new project. Click Next in the New project pop-up window Enter the Project name (e.g vlsi_tutorial) and the Project Location i.e., the name of the directory you created (e.g. Tutorial) to contain the project and click Next in the New project pop-up window.

9 2. Specifying Location Map On the next window that appears Click the Open Location Map Editor button. The Location Map Editor appears. To Add the design kit's standard cell libraries to the location map Click Edit Menu > Add Standard MGC Libraries pull down menu item. Following libraries will be automatically added. /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/mgc_ic_commlib /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/mgc_ic_comm_qs /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/mgc_ic_comm_rf /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/device_lib /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/generic_lib /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/sources_lib /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/mgc_ic_verilog /opt/mentor /app/2006.1_sun4os5/icflow_home/mgc_icstd_lib/mgc_ic_macrolib Add the MGC design kit to the location map Click Edit Menu > Add MGC Design Kit. Specify MGC Design Kit path as /opt/mentor /sol/adk2_5

10 The Library List editor looks as in the figure below. Click OK on the Library List Editor. Click Next on the New Project pop-up window. 3. Specifying Process files and other settings Click Open Settings Editor button. The Project tab of the Preferences dialog box appears. Load the process file (tsmc0xx). Process files are present in /opt/mentor /sol/adk2_5/technology/ic/process Load the following rules file to the project (tsmc0xx.rules) Rules files are present in /opt/mentor /sol/adk2_5/technology/ic/process o DRC rules file: tsmc0xx.rules o LVS rules file: tsmc0xx.calibre.rules o SDL rules file: tsmc0xx.accusim.rules o PEX rules file: tsmc0xx.calibre.rules NOTE: Select value for XX from 18, 25, and 35 depending on design requirement Fill in any other dialog box field to suit your project needs. Click OK on the Preferences dialog box.

11 Click Next on the New Project pop-up window. View the Summary to make sure all the information is correct. Click Finish.

12 5. Creating a library and Cells To Create a Library o Click New > Library. This opens the Create Library dialog box. o Enter the name of the library you want to create (e.g. Demo_Inverter). o Click OK. The library appears in the ICstudio library pane as well as in the location map. Capturing a cell To create a new Schematic cell: Select a library (e.g. Demo_Inverter) where you want a cell view to be created Click File > New > View. The Create New View dialog box opens. Enter the Cell Name (e.g. Inverter). If the cell does not exist, it is created. Specify the View Type as Schematic and click Finish

13 DA-IC will open automatically where in you can capture the required cell design NOTE: Standard devices and circuits are available in device lib and MACRO LIB present under IC Library. You will see an empty sheet in the Design Architect window. This sheet is where you will draw your schematic by placing the parts for your circuit and wiring them together. Click on Icon shown below to open Palette Menu. Palette menu will appear on right hand side of the window as shown in the figure below.

14

15 6. Schematic Entry Now let s start drawing the schematic for the CMOS inverter. 1. Adding Components and Ports Select the parts for your schematic of the inverter by choosing from a library of components. To place transistors on the sheet In the palette menu which looks like the figure alongside, click on Device Lib. Select NMOS (4-pin) and place it on the sheet by clicking on the position you wish to place it. Similarly, select PMOS (4-pin) and place it on the sheet. Click BACK to go to the IC Library palette and click on Generic Lib. Place Portin, Portout, VDD and Ground on the sheet After placing all the components the schematic sheet looks as the one shown in the figure below.

16 2. Wiring the components To wire up the components Press function key F3 to wire up the components according to the circuit diagram in Figure. o Pressing F3 activates the wiring command. o Clicking on Cancel in the prompt bar or pressing Esc on your keyboard disables the wire command. Alternatively, wires can be added by using the schematic edit palette or from menu bar by clicking ADD > WIRE

17 3 Adding Text / Changing Labels of Components To change the value or text associated with any components, Point the cursor on that text/value and press SHIFT + F7 (Note You do not have to click/select the text or value. Just point the cursor on the value/text.) A display prompt bar appears at the bottom with the current value/text in the New Value box. Input the new name in this box and click OK. For example: To change the label NET of the PORTIN symbol to IN, i. Point the cursor on the text NET near the PORTIN symbol and press SHIFT + F7. ii. A display prompt bar appears at the bottom. Type IN in the New Value box and click OK.

18 Similarly change the label of PORTOUT symbol from NET to OUT. Now the schematic should look as shown in the figure. Note: You can also change values for the sizes of the transistors, instance names or any other text values associated with any component in the circuit. Alternatively, you can modify multiple properties of a device as explained in the next section.

19 4 Changing the Reference Number and Modifying other Properties To change the Reference Number automatically for both NMOS & PMOS, Highlight both the devices, click the right mouse button, and select Name Instance Auto. The reference numbers will be automatically changed by the tool. To change multiple properties of one device (e.g. NMOS) at a time, Highlight NMOS device, click right mouse button, and select Properties Edit.A new window will appear. In the box that appears, change following properties: INST: M1 (if not already changed before) L: 0.4u (drawn length of device: 0.4 micron) W: 1.2u (width of device: 1.2 micron) ASIM_MODEL: NCH (required for simulation) Note: Click on Apply button every time you change any value.

20 If you want to prepare a layout of your circuit and run LVS check, you also need to modify following properties. (If you just want to do simulations, you may skip these two properties) PHY_COMP : mn INSTPAR : $strcat("w=", W, " l=", L) Very important: Change the type for INSTPAR property to "expr". Also, you need to type this string carefully, as it is. Especially, do not forget the spaces in this string, as highlighted below for your reference. $strcat("w=", <space>w,<space> "<space>l=",<space> L) In case, there is no INSTPAR property (or any other required property) listed in the multiple properties table of a device, insert it in the last blank row of the table. Similarly, select the PMOS and change the properties as follows: INST: M2 (if not already changed before) L: 0.4u (drawn length of device: 0.4 micron) W: 3.6u (width of device: 3.6 micron)

21 ASIM_MODEL: PCH (required for simulation) PHY_COMP: mp (required for LVS) INSTPAR: $strcat("w=", W, " l=", L) ( required for LVS) Final schematic will look like as shown in the figure below.

22 7. Checking & Saving the Schematic Click Check& Save from the schematic edit palette or and save your sheet. in the menu bar to check Note: If your sheet does not pass check, you cannot simulate the design. Check the log if errors are listed. If your sheet passes all checks you will get the following message in the message pane: Note: "Inverter/schematic/sheet1" passed check Note: Version 1 of sheet $Demo_Inverter/default.group/logic.views/Inverter/schematic/sheet1 has been written

23 8. Creating a Viewpoint To prepare for simulation in DA_IC, a Design Viewpoint needs to be created. The tool automatically creates the viewpoint when you enter into simulation mode. i. Click on simulation from the schematic edit palette on the RHS Or Click on on the LHS Vertical Icon Bar to enter the simulation mode. ii. Click OK to accept default options and to create a viewpoint. You will use the viewpoint during layout of the circuit. iii. Click on end simulation in the Schematic_sim palette or on the LHS Vertical Icon Bar to end the simulation and get back in schematic edit mode.

24 9. Printing the Schematic To print the schematic Click File -> Print Enter laser in the Printer name box. Do not modify any other settings. Click OK.

25 10. Creating a Symbol Hierarchical design allows you to instantiate lower level cells (circuits) into upper level cells to create a tree structure. Since, at higher levels, we really don't need to see the detailed transistor-level description of the base cells, we create symbols for them. Also we will use this symbol to perform various simulations on the circuit in the simulation tutorial. Make sure that the schematic is checked & saved before making the symbol. To generate a Symbol Automatically: 1. From the menu bar select Tools -> Generate Symbol 2. In the Generate symbol dialog box, a. Click Choose shape. Notice that variety of shapes are available for the symbol and one can choose any of these shape that best describes the circuit. b. Select Buffer and click OK. c. Do not change any other options in the dialog box and click the OK button to generate a symbol for the cell. 3. The symbol is created automatically and displayed in a new window as shown below. Do not forget to save this symbol by selecting Check & Save from the symbol_draw palette on the right hand side. You can now use this symbol in other schematics. You can edit symbol by selecting different shapes from right hand palette.

26 The symbol generated for the given Inverter is shown in the figure below.

27 How do I do the simulation? ** ** Please refer to the tutorial for Simulation of Inverter Circuit

28 Simulation for an Inverter Circuit Using the Design Architect-IC (DA-IC) Santa Clara University Department of Electrical Engineering Date of Last Revision: September 22, 2006

29 Table of Contents 1. Objective Basic Test Circuit Creation...4 Adding Instance to the test circuit...4 Setting up the simulation parameters...5 Setting up the simulator / Viewer DC Analysis...8 Editing and simulating the test circuit...8 Setting up the simulation parameters...9 Executing the simulation setup...10 Viewing the results using EZWave viewer...10 Printing the plots: DC Operating Point Analysis...13 Editing and simulating the test circuit...13 Setting up the simulation parameters...13 Viewing the results using EZWave viewer...14 Power consumption of the circuit Transient Analysis...17 Editing and simulating the test circuit...17 Setting up the simulation parameters...18 Setting up the signals to be probed...19 Executing the simulation setup...19 Viewing the results using EZWave viewer AC Analysis...22 Editing and simulating the test circuit...22 Setting up the simulation parameters...23 Setting up the signals to be probed...23 Executing the simulation setup...24 Viewing the results using EZWave viewer...24

30 1. Objective This Tutorial contains a step-by-step procedure for simulating an inverter circuit in the Mentor Graphics Design Architect tool. It covers DC Analysis, Transient Analysis, DC Operating Point Analysis and AC Analysis simulation of the inverter circuit. This tutorial assumes that the schematic of the inverter is already created. For help with creating schematic and symbol, please refer to Schematic Entry tutorial for Inverter Circuits.

31 2. Basic Test Circuit Creation Open icstudio from the command prompt as mentioned in the Schematic Entry tutorial Create a new view in the created library (Demo_Inverter) and name it as test_inverter with view type as Schematic. We will use this sheet to create basic test circuit required for the simulations. Adding Instance to the test circuit A new schematic entry sheet opens in DA-IC. In this test_inverter sheet, i. Add an inverter symbol (that you generated in the schematic entry tutorial) click Add Instance from schematic_edit palette on RHS. ii. In the Choose Symbol popup window, click Browse, navigate and select inverter and click OK. Place this symbol of the inverter in the sheet as shown in the figure below.

32 iii. Add a PORTIN, PORTOUT, VDD and Ground from Generic Lib iv. Name the ports as INPUT & OUTPUT respectively and wire them to the IN and OUT pins of the inverter symbol as shown in the figure. v. Add a DC source by selecting IC_Library Sources Library DC. vi. Highlight the DC source, right click mouse, Properties Edit to modify the voltage value of the source from 1V to 3.3V. vii. Connect the positive node of the DC source to VDD symbol and negative terminal to the Ground symbol. The circuit should look like as shown in the figure below. viii. Click Check & Save the sheet. And then click on simulation from the schematic_edit palette on the RHS to enter the simulation mode. A popup window appears with a Warning message that the schematic will be closed. Click OK to accept default options. Setting up the simulation parameters In the simulation mode (schematic_sim palette), Click the right mouse button Lib/Temp/Inc Library.

33 Type /opt/mentor /sol/adk2_5/technology/ic/models/tsmc035.mod in Library path box and click OK. This includes the TSMC0.35 micron BSIM model for the simulations. The screen now would look like the figure included below Setting up the simulator / Viewer In the simulation mode (schematic_sim palette), Click Session -> Simulator / Viewer. Select Eldo under Simulator and EZwave under Viewer and click OK.

34 Click on check & save and then click on end simulation to get back in schematic_edit mode.

35 2. DC Analysis For DC analysis, we sweep the input voltage from 0V to 3.3V and view its effect on the output. Editing and simulating the test circuit 1) Open the test_inverter sheet that you had created with the symbol of your inverter and make sure that you are in schematic_edit mode. 2) Add a DC voltage source between the INPUT pin and Ground as shown in the following figure. Name this source as VIN. (Note that the value of the DC voltage source is 1V, by default. For DC analysis, this value does not matter and so you can leave it as it is!) 3) Click Check & save the sheet and then enter the simulation mode by clicking on simulation from the schematic_edit palette on RHS. Click OK to accept default options in the Warning popup window appeared.

36 Setting up the simulation parameters On the schematic_sim palette: Click on Lib/Temp/Inc Library to make sure that /opt/mentor /sol/adk2_5/technology/ic/models/tsmc035.mod appears in library path box and click OK. Click Setup Analyses. In the Setup Simulation Analysis window that appears, select DC and click on Setup associated with DC. In the Setup box that appears, select Source and select VIN for Voltage source, put 0 in the start field, 3.3 in the stop field and 0.01 in the step field and then click OK. Select the wires connected to INPUT and OUTPUT pins on the sheet. Click Setup Outputs Save from the Menu Bar. This opens the Set Plots dialog box. Select Voltage for the Plot type and from Advanced tab select DC for the Analysis type and click OK. Setup Difference Plot window will appear. Select individually for the plot type.

37 Executing the simulation setup On the schematic_sim palette: Click Execute Netlist. This opens up a new window that starts netlister. Check the netlist created for any errors. If there are any errors, correct them before proceeding further. Else close the window by pressing Enter key. Click Execute Run Eldo. This starts Eldo in a new shell window and it may take few seconds before the simulation is complete. You may scroll down the window to see the DC simulation results. Press Enter key to close the Shell Window. Viewing the results using EZWave viewer To view the results of your DC analysis in EZWave, do the following: On the schematic_sim palette: Click Results -> View Waves. A new window will open with waveform plot as shown below.

38 Printing the plots: To Print your waveform: Click File -> Print. Type `laser` for the printer name & Click OK.

39

40 3. DC Operating Point Analysis In this section, you will find the DC operating point of several nodes in the circuit and look at the transfer function of the input. Editing and simulating the test circuit Open the test_inverter sheet that you had created with the symbol of your inverter and make sure that you are in schematic edit mode. The setup is exactly similar to the DC Analysis setup and we will carry out DCOP analysis for input voltage of 1V. Add a DC voltage source between the INPUT pin and ground as shown in the following figure. Name this source as VIN and make sure that its value is 1V. Setting up the simulation parameters On the schematic_sim palette: Click Setup Analyses. Select DCOP in the dialog box that appears, and then click OK.

41 Click Execute Netlist and then Run ELDO. Viewing the results using EZWave viewer The next step is to view the results of your simulation run. To see all the DC voltages and currents in your schematic, Click Results DCOP Add monitor All Nets Voltages Again click DCOP Add monitor All Pins - Current

42 Current and Voltage values at various nodes will be displayed as shown in the above figure. Alternately, you can select voltages or current option, if you want to see only voltages or currents. Power consumption of the circuit The power consumption of the circuit for the given operating point is calculated during the DCOP simulation. To check it out, Click on Results ASCII Files, right click mouse button View Log. Scroll down in the log window to find the information about the power consumption as shown in the figure below:

43

44 4. Transient Analysis In this section, you will provide a pulse at the input and view the input & output waveforms. Editing and simulating the test circuit Open the test_inverter sheet that you had created with the symbol of your inverter and make sure that you are in schematic_edit mode. Add a PULSE voltage source between the INPUT pin and Ground as shown in the following figure. Name this sourse as VIN and change the attributes as: Initial_value = 0, Pulse_value = 3.3V, Delay = 0, t_rise = 1ns, t_fall = 1ns, Width = 20ns Period = 50ns ( You can use either SHIFT + F7 to change these values one at a time or you may change all the values by first selecting the PULSE voltage source and then Right clicking the mouse to select Properties Edit. Make sure you click Apply after changing each parameter.)

45 Check & save the sheet and then enter the simulation mode by clicking on simulation from the schematic_edit palette on RHS. Click OK to accept default options in the Warning popup window appeared. Setting up the simulation parameters On the schematic_sim palette: Click on Lib/Temp/Inc -> Library to make sure that /opt/mentor /sol/adk2_5/technology/ic/models/tsmc035.mod appears in library path box and click OK. Click Setup -> Analyses. In the Setup Simulation Analysis window that appears, select Transient and click on Setup associated with it. In the Setup box that appears type 0.1nS in the Output Start Time, 300nS in the Stop Time field and 1nS in Max Time Step field. Then click OK.

46 Setting up the signals to be probed You need to setup the signals to be plotted and probed in order to view the results after simulation. To view the input and output waveforms of your inverter, Highlight INPUT and OUTPUT wires on the sheet Click Setup Wave Outputs. Select Voltage for Plot Type; check TRAN for Analysis type in Advanced and then click OK Setup Difference Plot window will appear select individually for the plot type. Executing the simulation setup Click Execute -> Netlist Click Execute -> Run ELDO Viewing the results using EZWave viewer On the Schematic_sim palette: Select Results ASCII Files from the palette menu to see netlist and log files.

47 Click Results View Waves. Waveform window will appear with both input and output waveforms mixed into each other as shown in the figure To view the Input & Output waveforms separately, select V (OUTPUT) from Currently Open database test_inverter_eldonet TRAN V (OUTPUT) and click delete and then right click on V (OUTPUT) and click PLOT. Two different plots as shown below will appear.

48

49 5. AC Analysis In this section, you will run AC analysis and trace and measure your results. In AC Analysis, the input signal is swept over a range of frequencies. First you have to disable the earlier Transient setup that you applied during the transient analysis. Follow these steps to do AC Analysis on the circuit Editing and simulating the test circuit 1) Open the test_inverter sheet that you had created with the symbol of your inverter and make sure that you are in schematic_edit mode. 2) Add an AC voltage source between the INPUT pin and Ground as shown in the following figure. Name this source as VIN. 3) Change the value of Mag field to 3.3 V 4) Click Check & save the sheet and then enter the simulation mode by clicking on simulation from the schematic_edit palette on RHS. Click OK to accept default options in the Warning popup window appeared.

50 Setting up the simulation parameters On the schematic_sim palette: Click Setup -> Analysis. Check AC and click on the Setup box associated with it. Select the Decade button for Sweep type. For this circuit you will perform an AC sweep of input frequency from 1 to 10G. Enter these values in the Start Freq and Stop Freq respectively. Click OK Setting up the signals to be probed You need to setup the signals to be plotted and probed in order to view the results after simulation. To view output waveforms of your inverter, Highlight INPUT and OUTPUT wires Click Setup Output Save Selected components tab. Check AC, select DB-Phase, and then click OK. Setup Difference Plot window will appear select individually for the plot type.

51 Executing the simulation setup Click Execute -> Netlist Then click Execute -> Run ELDO. Viewing the results using EZWave viewer Select Results -> ASCII Files -> View Log from the palette menu to see netlist. Make sure it does not show any ERROR. To view the results of your AC analysis, invoke the EZWave viewer by clicking on Results -> View Waves Finally I understand this stuff!

52 Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering Revised by Arpita Sharan Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: October 31, 2006 Copyright 2006

53 Table of Contents 1. Objective Setup & Preparation Launching IC Studio...6 _Toc Opening the project Opening icstudio and opening the project Opening Layout Cell Drawing the Layout Actual Inverter Layout Making Ports Save / Reserve Cell Verifying the Layout Design rules (DRC) Verifying the Layout Vs Schematic (LVS)...27 Copyright

54 1. Objective This tutorial shows a step-by-step procedure for creating a custom (manual) layout of the inverter schematic that you created in Schematic entry tutorial. The schematic and the final layout are as shown in the figure below. It also helps you verify your layout by using the IC Station physical verification tools Calibre to perform simple DRC (Design Rule Check), and LVS (Layout Vs Schematic) check. Copyright

55 Copyright

56 2. Setup & Preparation The set of directives listed below is applicable to users of the Engineering Design Center at Santa Clara University. If you are working in a different environment please check with your system administrator. The steps below are necessary only for the first time to setup the Mentor Graphics environment by changing the settings in your.profile or.cshrc file. Add the following lines in your.profile: setup mentor alias swd= export MGC_WD=\ pwd\ Remember to execute $..profile If using C-shell add the following lines in your.cshrc: source /usr/local/scripts/setup.mentor csh alias swd= export MGC_WD=\ pwd\ Remember to execute $ source.cshrc Copyright

57 3. Launching IC Studio On the command line To Create a directory to contain your projects type: mkdir Tutorial To change the current directory to Tutorial type: cd Tutorial. To open ICSTUDIO type: icstudio&. This launches the ICStudio window shown below. Copyright

58 4. Opening the project To create a project the follow the three steps given below: 1. Opening icstudio and opening the project On the ICStudio Window Click File -> Open -> Project to create a new project. Enter the Project name (e.g vlsi_tutorial) and the Project Location Click Open in the Open Project pop-up window 2. Opening Layout Cell Right click on the name of the Schematic you entered (eg.; Inverter) and click New View. Select View Type as Layout. Click Finish. Copyright

59 The following window will open wherein you can draw your schematic layout. Copyright

60 Note: Before you make any changes to your work, make sure your work is in the 'Edit' mode. Copyright

61 5 Drawing the Layout For this Click File> Enable editing > Current Context Copyright

62 1. Actual Inverter Layout Now that we are familiar with basic editing commands, lets start with the layout of the inverter. Note:In the working space, one unit is equal to one Lambda (l). (refer to the cursor coordination on the window frame). If you follow the Lambda rules for TSMC0.35u technology, the smallest feature size will be 2l = 0.4u (for poly width and contact size). Therefore we have 1l = 0.2micron To Create the NMOS with 0.4 micron length and 1.2 micron width: o Click CONTACT_TO_ACTIVE (48) on RHS of the window. o Click Draw Rectangle on the LHS of the window and draw a 2lX2l square of layer 48. o Next create 6l x 6l METAL1 Square for Contact. The result should look like in the following figure. Select both the shapes and Click Edit> Copy> Selected Copyright

63 Next Click Edit> Paste Place all these shapes beside the original ones with 4l spacing. They are source and drain contacts. Create 6l x 16l ACTIVE (active area) to cover exactly source and drain metal contact. Next To Make the PMOS: o Click CONTACT_TO_ACTIVE (48) on RHS of the window. o Click Draw Rectangle on the LHS of the window and draw a 2lX2l square of layer 48. o Next create 18l x 6l METAL1 Rectangle for Contact Note: Distance between the NMOS and the PMOS should be at least 13l Select all the shapes and Click Edit> Copy> Selected Next Click Edit> Paste Place all these shapes beside the original ones with 4l spacing. They are source and drain contacts. Create 18l x 16l ACTIVE (active area) to cover exactly source and drain metal contact. Copyright

64 Next create a 41L X 2L poly between the drain and source contacts for NMOS and PMOS. Add 22l x 20l P_PLUS_SELECT for PMOS and 10l x 20l N_PLUS_SELECT for NMOS. The spacing between N_PLUS_SELECT and P_PLUS_SELECT should be kept at least 9l for correct NWELL and PWELL spacing as shown below. Copyright

65 Note: It is always better to add as many CONTACTS as possible to reduce the contact resistance. So add 3 contacts in the Source area of PMOS (with 3l distance in between the contacts) and 4 contacts in the drain area of PMOS (with 2l distance in between the contacts) as shown in the adjacent figure. Note: As per the design rules of TSMC0.35, minimum separation between two contacts should be 3l. Add 30l x 28l NWELL for PMOS and 18l x 28l PWELL for NMOS. The spacing between NWELL and PWELL should be at least 1l. Copyright

66 Add METAL1: to form Vdd (power wire) and GND (ground wire). Note that METAL1 should have at least 3l width and 3l spacing (METAL1 to METAL1 spacing). Now, connect PMOS-source to Vdd and that of NMOS to GND with METAL1. (Use 'Notch For this select the shape you want to notch or connect and click Edit-> Notch Use your Left Mouse Button to alter Move the shape as desired and when you are done press Escape) Connect the drains of both transistors by METAL1, to get OUTPUT net of the inverter. The figure when you have notched the VDD, GND and POLY would look as under: Copyright

67 To have well ties in layout-- continue with the following steps: Use Notch to extend the left hand side edges of WELL, ACTIVE (active area), and METAL1 of the SOURCES of both NMOS & PMOS by 8l. Add N_PLUS_SELECT inside NWELL and P_PLUS_SELECT inside PWELL. Also add CONTACT to both N_PLUS_SELECT and P_PLUS_SELECT. Notice that the PMOS's source is connected to VDD and NMOS's source is Connected to the GND. By connecting the body of the wells to the sources through metal1 we are connecting the NWELL to VDD and PWELL to the GND. The final figure when you have notched the VDD, GND and POLY would look as under Copyright

68 6. Making Ports From main menu bar, select Connectivity -> Port -> Make Port: For the Port Name, enter VDD. Make sure the Port Type is Signal and Direction is in. Then click OK Repeat the steps above to assign GND (Metal1), IN (Poly) and OUT (Metal1) ports. Note:'GND' and 'IN' are of 'in' Direction while 'OUT' is of 'out' Direction. Now, you have finished the layout. It's time to save your work! Copyright

69 7. Save / Reserve Cell To save Cell: Select, from main Menu Bar, File -> Cell -> Save Cell Note: After you save your work, the system will automatically set your work to 'Read-Only' mode. To set your work to 'Editable' mode, Click File> Enable Editing>Current Context Copyright

70 8. Verifying the Layout Design rules (DRC) Click Tools > Calibre > Run DRC The following Window pops up Enter the Path to Calibre as: /opt/mentor /app/calibre/sol/ss5_cal_2006.2_38.31 Copyright

71 When you are done the following window pops up: Copyright

72 The DRC tool stores results as shown under. Click on Outputs to see the location where the tool stores the result Copyright

73 Click Run DRC Copyright

74 The Calibre DRC RVE should say No (Zero) Results in 81 Checks as under If you do not have any DRC errors the window would look like: Copyright

75 In case you have errors in your DRC the window would look as under. Click on the RED marked Squares and get to the errors. Correct them till you get No results Copyright

76 Copyright

77 Copyright

78 9. Verifying the Layout Vs Schematic (LVS) Click Tools > Calibre > Run LVS The following window pops up: Specify the Layout netlist path and Click Run LVS Copyright

79 Copyright

80 The LVS if it is correct would give you a result as under Copyright

81 Copyright

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Introduction to Design Architect

Introduction to Design Architect SANTA CLARA UNIVERSITY Dept. of Electrical Engineering Mentor Graphics Tutorials Introduction to Design Architect Yiching Chen Sangeetha Raman S. Krishnan I. Introduction II. This document contains a step-by-step

More information

RC Extraction. of an Inverter Circuit

RC Extraction. of an Inverter Circuit RC Extraction of an Inverter Circuit Santa Clara University Department of Electrical Engineering Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: February 1, 2010 Copyright

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS 1. RUNNING MENTOR GRAPHICS Erdem S. Erdogan 09.13.2006 Note: These commands can be run remotely via ssh to one of the DSIL machines. If running remotely, ignore

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

EECS 211 CAD Tutorial. 1. Introduction

EECS 211 CAD Tutorial. 1. Introduction EECS 211 CAD Tutorial 1. Introduction This tutorial has been devised to run through all the steps involved in the design and simulation of an audio tone control amplifier using the Mentor Graphics CAD

More information

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D.

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D. CES 522: Laboratory Manual for Digital Integrated Circuit Design Jack Ou, Ph.D. September 2011 2 Contents 1 Getting Started with ICStudio 5 1.1 Create a Project Directory.................... 5 1.2 Start

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules. Page 1 of 5 CADENCE TUTORIAL Creating Layout of an inverter: click on File->library->new a Create Library form appears, fill it as follows: in the name field enter: inverter select "Attach to existing

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

SCHEMATIC1 SCHEMATIC2 SCHEMATIC1 SCHEMATIC2 SCHEMATIC3 PAGE1 PAGE2 PAGE3 PAGE1 PAGE1 PAGE2 PAGE1 PAGE1 PAGE2

SCHEMATIC1 SCHEMATIC2 SCHEMATIC1 SCHEMATIC2 SCHEMATIC3 PAGE1 PAGE2 PAGE3 PAGE1 PAGE1 PAGE2 PAGE1 PAGE1 PAGE2 An OrCAD Tutorial Dr. S.S.Limaye 1. Introduction OrCAD is a suite of tools from Cadence company for the design and layout of printed circuit boards (PCBs). This is the most popular tool in the industry.

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

Simulation examples Chapter overview

Simulation examples Chapter overview Simulation examples 2 Chapter overview The examples in this chapter provide an introduction to the methods and tools for creating circuit designs, running simulations, and analyzing simulation results.

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

Lab 2: Functional Simulation Using. Affirma Analog Simulator

Lab 2: Functional Simulation Using. Affirma Analog Simulator Lab 2: Functional Simulation Using Affirma Analog Simulator This Lab will go over: 1. Creating a test bench 2. Simulation in Spectre Spice using the Analog Design environment 1. Creating a test bench:

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

University of California, Davis College of Engineering Department of Electrical and Computer Engineering. EEC118 EXPERIMENT No.

University of California, Davis College of Engineering Department of Electrical and Computer Engineering. EEC118 EXPERIMENT No. I. OBJECTIVE University of California, Davis College of Engineering Department of Electrical and Computer Engineering EEC118 EXPERIMENT No. 1 MAGIC TUTORIAL The objective of this experiment is to learn

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

Chapter 4 Determining Cell Size

Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size The third tutorial is designed to give you a demonstration in using the Cell Size Calculator to obtain the optimal cell size for your circuit

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence.

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. (This might not be the best way, but it works!) 1) Realize

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

Setting up an initial ".tcshrc" file

Setting up an initial .tcshrc file ECE445 Fall 2005 Introduction to SaberSketch The SABER simulator is a tool for computer simulation of analog systems, digital systems and mixed signal systems. SaberDesigner consists of the three tools,

More information