FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Size: px
Start display at page:

Download "FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT"

Transcription

1 FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC) Layout Versus Schematic (LVS) - Parasitic Extraction (PEX)

2 LAB GUIDE DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification Create project folder Before creating a design, you must create a folder storing all the design files. From the desktop, right click on the mouse and open a terminal window. At the command shell, make a project directory Type: mkdir project Then click ENTER. Invoking the Pyxis Design Manager To invoke the tsmc130nm technology design kit Type. /EDA/TSMC_013_TDK/source.bash To invoke the Pyxis design manager Type dmgr_ic You will see the Project Design Manager as in the figure below. This project navigator will manage all your circuit design. 2

3 Create project folder Opening Existing Project Click on File > Open, then load the directory path to /EDA/TSMC_013_TDK/ic_projects/Pyxis_SPT and click OK The Pyxis_SPT project will be displayed at the explorer pane with its library. Creating New Project To create new project click on File > New > Project and at the technology library path, point to /EDA/TSMC_013_TDK/tech_libs/generic13 Then, name your project in the Project path, for example /newhome/shome/username/project/tutorial. Then click OK. *please key in your own username You should see the tutorial project will be displayed at the explorer pane. Next, you need to add the Pyxis Libraries. On the Manage External/Logic Libraries, click on Add Standard Libraries to add libraries as shown in the screenshot below. Include some external libraries by browsing the path to /EDA/TSMC_013_TDK/external_libs/GenericAnalog /EDA/TSMC_013_TDK/external_libs/GenericGates /EDA/TSMC_013_TDK/logic_libs/mgc_libs Then click OK to add the the libraries to your project. Copying Libraries To copy the libraries from the Pyxis_SPT to your current project, click on Pyxis_SPT project at the explorer pane. On the view pane, select the library named GenericPLL and MixedSims, then right click and select Copy. Click on your project named tutorial, then right click and select Paste Special, a Copy Object window will pop up. Check the Follow References option and click OK. The copied file will be displayed under the tutorial project. Then, close the Pyxis_SPT project by clicking on the project name and then right click and choose Close Hierarchy. 3

4 Pyxis Schematic Design Setup and Create a schematic Sheet Select your project name at the Project Navigator, click on the New Library icon under menubar: and name your library name as component and click OK. Select the component library you have created before under your project and make sure it is highlighted, and then click on New Schematic icon under the menubar. New Schematic window will pop out and at Cell name column type your cell name as inverter. Then click OK The Pyxis Design Manager will automatically invoke the Pyxis Schematic. To draw a schematic (a) Insert components On the Pyxis Schematic, from the menubar click on Add > Instance.. or use hotkey I, then point the browser by scrolling down at Object section to $GENERIC13/symbols and select nmos and click on OK. Then paste the nmos on the schematic sheet. Then, highlight the component and use the hotkey Q to change the width of the nmos to 1u and click OK. To add PMOS transistor you can use hotkey I to call the instance and use hotkey Q to change the symbol path under the attribute section. The width of the pmos remains as default and then click OK. Components you need in creating an inverter are: 4

5 o nmos, pmos, VDD, Ground, PortIn and PortOut Refer to the following schematic to insert desired components. To obtain the VDD, Ground, PortIn and PortOut, click on Add > Instance and replace the library path under Look in tab by scrolling down at the Object section to mgc_libs> generic_lib and then select the needed component. (b)add wires Click on Add > Wire or you may use shortkey key W and connect all the components to create the inverter circuit. To add a wire between 2 points, click once on the starting point, move the cursor to the other point, and double click. To exit from this adding wire function, press Esc. (c) Name ports/nets Unselect all first (by pressing F2 ), then to name the PortIn and PortOut, to in and out, highlight the component and then use the hotkey Q to edit the property of the instance. The Edit Object window will pop out and then change the Net Name in the Value column respectively. When done, click OK. Check Schematic After the schematic is captured, you need to check the schematic to make sure there are no errors on it. At the menubar, click on File > Check Schematic. Check to see if the process passed or failed. Notice that at the bottom of the window, it reads inverter/schematic/sheet1 passed check If schematic check failed, fix the schematic accordingly by referring the Transcript area window. Otherwise, the log window can be closed. This can be 5

6 done by clicking on the middle mouse button and dragging it towards the left and let go. To save the schematic, click on File > Save Sheet > Default. Generate Symbol Next is to generate a symbol to represent the design. Click on Add > Generate Symbol from the menu bar. At the Generate Symbol window, you may change the symbol by clicking on Choose Shape.. For inverter, you may select Buffer as the shape and click OK. The symbol generated will be seen. To check the symbol, click on File > Check Symbol at the menubar. Check to make sure the symbol passed check at the bottom of the window. Save the symbol by clicking File > Save Symbol > Default. Notice that once you have saved the symbol, one of the pins of the symbol will be highlighted. Close the symbol window. Hold on to the middle mouse button, drag it to the left and let go. Simulation Creating a testbench What you need to do next is to create a testbench graphically. This testbench is used to create stimulus to simulate your inverter. Click on component library on the Project Navigator window. Make sure it is highlighted. Click on the New Schematic icon under menubar, a window will pop up. In the cell name column, name your schematic as inverter_tb. This is to create another schematic file with the name inverter_tb. Then, click OK. The Pyxis Schematic window pops up. The first thing you need to do is to instantiate your inverter design in the testbench. Click on Add > Instance..>Choose Symbol at the menubar, a file browser will pop up. Browse to $TUTORIAL/component and click on the Component library and select the inverter which is the inverter symbol that you have designed earlier. Click on OK. Move your cursor to place the instance in the schematic sheet. Components you need to add to the test bench are: VDD, Ground, pulse_v_source and dc_v_source, After placing the inverter, click on Add > Instance..> Choose Symbol or with the hotkey I to call the components form the library. Click on mgc_libs > generic_lib > ground to select Ground and paste it on the schematic. To add the VDD component by using the same library, use hotkey I and press Q. Then, change the library path to obtain the required component and paste it on the schematic. 6

7 To obtain the pulse_v_source and dc_v_source browse, click Add > Instance and browse to mgc_libs > sources_lib and select the component respectively. Then, paste it on the schematic. Refer to the following to insert the components and to connect the wires, click on Add > Wire or you may use the shortcut key W. To modify the DC source, select the pulse_v_source and it will be highlighted. Then, press Q to change its property. When the Edit Objects window pops up, change the data to the following: delay: 1ns initial_value: 0V period: 50ns pulse_value: 1.2V t_fall: 1ns t_rise: 1ns width: 20ns Click OK when done. To name the nets (in, out), Select the wire and right click and select Name Net. Key in the net name (in or out) in the Property Value column at the bottom of the window. After creating the testbench, you now need to check the schematic of the testbench to make sure it is correct. To check schematic, click on File > Check Schematic from the menubar. Once passed, save the schematic by clicking on File > Save Sheet >Default. Simulation mode To reopen your testbench schematic, you can select and double clicks the testbench schematic on the Pyxis Design Manager. 7

8 Click on Simulation on the Schematic Edit palette to enter to the simulation mode. A Entering Simulation Mode window will pops up, click OK to continue. At toolbar on your left, click on Setup Simulator/Viewer > Setup Netlister. A Setup Spice Netlister window pops up and at the Set Node 0 column, type GROUND. This tells the netlister that any node with the name GROUND is the ground. Then, at the Output type section, select EldoSPICE. Click OK when done. Click on Setup Libraries.. on the toolbar to setup the simulation. A Setup Simulation window pops up as shown in the figure below. On the Libraries, select Typical in the Active model scenario. Click on Analysis Setup on the same window and check the Transient as the analysis methods, then click on Apply. Expand the Analysis Setup and select the Transient Setup. Key in the Output Start Time with the value of 0 and click on Apply. On the same window, click on Edit Waveforms. Select Voltage on the Type section and click on Add Wave Output icon on the right toolbar to probe all the nodes at the end of the simulation as shown in the figure below. 8

9 Repeat the previous step to add Current to probe currents in the simulation. After setting the probes, you are now ready to run simulation. Go back to the testbench schematic and click on the Run Simulator icon on the toolbar. Make sure if your simulation is successfully done. Notice that at the bottom of the window, it reads Simulation completed successfully. If your simulation fails, end your simulation by clicking End Sim and do the corrections on your schematic, before repeating the whole simulation process again. View simulation result Once the simulation process is completed, click on more > View Wave > New Window at the toolbar to view the simulation waveforms. Click on the TRAN folder to view all the waveforms. 9

10 LAB GUIDE DIGITAL INTEGRATED CIRCUIT DIC2: Circuit Layout, DRC, LVS, PEX Create Schematic Driven Layout (SDL) Since the inverter schematic has been drawn in the first lab, we are going to create an SDL (Schematic Driven Layout). Firstly, select your inverter folder on the Pyxis Design Manager. Make sure it is highlighted. Then click on the New Layout icon under the menubar to create a new layout sheet. In the Layout Name column, name your layout with any name e.g inverter_layout and click OK to continue. Pyxis layout will be invoked automatically with all files setting is retrieved from the TSMC013 design kit. Specify the component to be imported on the Component column as $TUTORIAL/component/inverter Specify the Cell name as $TUTORIAL/component/inverter/inverter_layout Specify the Process as $GENERIC13/process/generic13 Specify the Rules as $GENERIC13/process/sdl_process_rules Click on OK to confirm Pyxis layout will automatically create a SDL with both schematic and layout sheet is display at the same time. Layout design Select the pmos on the schematic sheet, make sure it is highlighted and click on Inst on DLA Logic Palette on your right. The pmos layout will be automatically generated, then paste it on the layout sheet. To unselect a highlighted device, press F2. Repeat the same procedure to generate the nmos layout and place it on the sheet. To align the 2 transistor, you may use hotkey V. Zoom in to see clearly by press and hold the middle mouse button and draw: You can see yellow lines connecting the devices. They are called overflows. These are the connections (using metal or poly layer) you need to make. Next, unselected a highlighted device by pressing F2, then select the PortIn on the schematic and click on Port on the DLA Logic. The PortIn will be generated and paste it on the bottom left corner of the pmos device. *If the PortIn is showing in Poly layer (red layer), press 4 to change the Poly layer to Metal1 layer (blue layer). Repeat the step to generate the PortOut and paste it on the bottom right of the pmos. Do the same thing to VDD and Ground and place it on the top left corner of the pmos and bottom left corner of the nmos respectively.

11 Use hotkey V to align the ports and make sure it is Metal1 layer. The layout will look as the screenshot below. VDD PortIn PortOut Ground To expand the VDD port to the right, press hotkey E and click on the right edge of the VDD port and drag it to the right. *you can use hotkey V to align it with pmos. To expand vertically upward, press hotkey E and click on the top edge of the VDD port and drag upward. Repeat the same step to expand the Ground port. Next, select the VDD port and click Easy Edit > Via > Fill Selected on the IC Palette as shown below. Choose m1nwell on the ICdevice ShapeVia window, and click OK to add nwell contact on the PMOS device. 11

12 Repeat the same step to add pwell contact to the nmos device (GROUND port) by selecting mp1psub on the Icdevice Shape Via window. To get a better view of the whole layout, you can zoom out the view. This can be done by holding the middle mouse button and make a short stroke movement to upper right. The devices generated by SDL are instances. This means the device is not flat. Try to peek inside the devices by using the peekdown stroke. Hold the middle mouse button and make this stroke. The contacts (white squares) inside the nmos/pmos becomes visible. You won t be able to edit the nmos/pmos because it is an instance generated based on the schematic. To unpeek, hold on the middle mouse button and make this stroke. The following figure shows a screen shot of what you suppose to get when you peek into the nmos and pmos. Nwell Contact Pwell Contact Layout Routing The following figure shows the connections of the inverter layout that you supposed to draw. 12

13 Poly Contact Poly layer Metal1 layer The source of the pmos is tied to VDD while nmos goes to GND. To route the source terminal of the pmos to VDD port, use hotkey I. Make sure the layer is Metal1 layer. Press 4 for metal select. Click at source of the nmos device and move the Metal1 layer upward to the VDD port and use hotkey W to change the width of the Metal1 layer to 0.26 or Shift+W to automatically change the Metal1 witdh as same with width of the source of the pmos. Minimum Metal1 with is Next route the source terminal of the nmos device to the ground and connect the output drain terminal of the pmos and nmos together. To route the poly of the pmos to the poly of the nmos. Use the hotkey I and press 3 to change the Metal1 layer back to Poly layer and connect both poly gates together. Repeat previous step to route the output port to the drain of the two transistors, but press 4 to change to Metal1 layer back. Drag the Metal1 layer to the right and double click on the output port. To add the Poly Contact, use the hotkey I and press 3 to change to Poly layer, then route Poly of 2 transistors to the input port and at the same time press 4 to add Metal1 with Via connection to connect Poly with Metal1 layer on top of input port as shown in the figure below 13

14 To label the ports, select the MET1TEXT layer on the Layer Palette and click Add Text.. icon on the left toolbar. Press hotkey Q to change the Value column as in. You can adjust the size of the Text Height column e.g 0.3. Then click apply and paste it to the input port. *Make sure that the names are same as the ones you named in your schematic. Repeat the same step to add text value out, VDD and Ground respectively. Press ESC key to terminate commands that are still active. Design Rule Check (DRC) From the menu bar, select Tools > Calibre > Run DRC. To invoke the Calibrate nmdrc. Click on Run DRC to start the DRC checking. RVE (Result Viewing Environment) window shows DRC errors. On the Calibre RVE window, click on down arrow to find the errors in the report. If there are errors, click on the error to see the details. Double click on the coordinate to locate the error in layout window. You can automatically zoom in the DRC error. At the Calibre DRC RVE window, select Setup > Options from the main menu. Click on Zoom cell view to highlights by:. Enter a number (e.g. 0.7). This is the zoom ratio. Click OK to close. Double click on an error to see the zoom in. Fix all the DRC errors accordingly. After editing, save the cell and rerun the DRC. This is done by going back to the Calibre DRC and click on Run DRC again. When prompted to Overwrite Layout File, click OK. Close the RVE and Calibre DRC windows. Layout Versus Schematic (LVS) We will now proceed to LVS. We will be comparing the netlist from the schematic and the netlist from the layout 14

15 In Pyxis layout, invoke Calibre nmlvs by selecting Tool > Calibre > Run LVS from the menu bar. Click on the Setup on menubar of nmlvs window to enable the LVS option. Click on LVS Option and key in VDD and GROUND at the Power nets and Ground nets column to define the power and ground net of the layout. Click on Run LVS. Once completed, the LVS Report will display the LVS results. Take a look at the LVS Report. If you see a big X, it means there are errors. The RVE window is useful to debug the layout. Click on an error, an explanation will appear at the bottom pane. To debug, right click near the error and select Highlight Net. The Pyxis layout will highlight the respective net. Try fixing all the errors and rerun the LVS by simply click on Run LVS. After modifying the layout, remember to run DRC again. Make sure your layout is DRC and LVS clean. Close the LVS Report and Calibre windows. Parasitic extraction Parasitic extraction is after DRC and LVS checking. The purpose of parasitic extraction is to extract the parasitics (R & C) from the circuit layout. Make sure that your layout is DRC and LVS clean. Click on Tools > Calibre > Run PEX and PEX screen pops up Click on the Inputs tab. Select Netlist tab and check the Export from schematic viewer option. Change the Top Cell of the netlist to inverter, and then click OK. Click on Outputs tab and under Netlist tab, select DSPF as the format instead of ELDO. Click on Setup and enable PEX options 15

16 Select LVS Option tab and key in VDD as the Power nets and GROUND as the Ground nets. Click on Run PEX. This will start RC extraction. A new netlist with parasitic will be generated e.g inverter_layout.pex.netlist Re-simulate the design with the parasitic data. Open the inverter_tb > eldonet on the Pyxis Design Manager to invoke the Pyxis Schematic to enter to the simulation mode of the testbench directly Select the INVERTER1 instant symbol and click on Tools > Parasitics > Add DSPF to include the parasitic netlist for simulation. Browse the previously generated parasitics netlist in the Choose DSPF column to $PROJECT/component/inverter/inverter_layout.cal/inverter_layout.pex.net list and select on DSPF in the Simulate using devices from: option and click OK. The parasitic netlist will be displayed on the schematic once the parasitic netlist is included. Click on Run Simulator on the toolbar to re-simulate the design with the parasitic data. Once the simulation process is completed, click on more > View Wave > New Window on the toolbar to view the simulation waveforms. You can compare with the original simulation waveform with the output waveform with parasitic. 16

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Introduction to Design Architect

Introduction to Design Architect SANTA CLARA UNIVERSITY Dept. of Electrical Engineering Mentor Graphics Tutorials Introduction to Design Architect Yiching Chen Sangeetha Raman S. Krishnan I. Introduction II. This document contains a step-by-step

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

RC Extraction. of an Inverter Circuit

RC Extraction. of an Inverter Circuit RC Extraction of an Inverter Circuit Santa Clara University Department of Electrical Engineering Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: February 1, 2010 Copyright

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS 1. RUNNING MENTOR GRAPHICS Erdem S. Erdogan 09.13.2006 Note: These commands can be run remotely via ssh to one of the DSIL machines. If running remotely, ignore

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D.

CES 522: Laboratory Manual for Digital Integrated Circuit Design. Jack Ou, Ph.D. CES 522: Laboratory Manual for Digital Integrated Circuit Design Jack Ou, Ph.D. September 2011 2 Contents 1 Getting Started with ICStudio 5 1.1 Create a Project Directory.................... 5 1.2 Start

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

FPGA Introductory Tutorial: Part 1

FPGA Introductory Tutorial: Part 1 FPGA Introductory Tutorial: Part 1 This tutorial is designed to assist in learning the basics of the Altera Quartus II v9.0 software. Part 1 of the tutorial will cover the basics of creating a Project,

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 Introduction This Xilinx project introduces the characteristics of the ripple carry adder. From the last project, you learned that

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

EECS 211 CAD Tutorial. 1. Introduction

EECS 211 CAD Tutorial. 1. Introduction EECS 211 CAD Tutorial 1. Introduction This tutorial has been devised to run through all the steps involved in the design and simulation of an audio tone control amplifier using the Mentor Graphics CAD

More information

Boise State University Digital Systems Laboratory

Boise State University Digital Systems Laboratory by S. M. Loo, Arlen Planting Department of Electrical and Computer Engineering Boise State University First Released: Spring 2005 with ISE 6.3i Updated: Fall 2006 with ISE 8.1i Updated: Spring 2009 with

More information

One possible window configuration preferences for debug cycles

One possible window configuration preferences for debug cycles NEW USER S TUTORIAL Welcome to ICAP/4, Intusoft s suite of analog and mixed-signal simulation products. There is also a New User s Tutorial 2 as follow-on to this tutorial for non-icap/4rx products. Let

More information

Lesson 19: Processing a Hierarchical Design

Lesson 19: Processing a Hierarchical Design Lesson 19: Processing a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Annotate a hierarchical design Perform a Design Rule Check on a hierarchical design Correct

More information

Lesson 17: Building a Hierarchical Design

Lesson 17: Building a Hierarchical Design Lesson 17: Building a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Explore the structure of a hierarchical design Editing the Training Root Schematic Making

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

DC Circuit Simulation

DC Circuit Simulation Chapter 2 DC Circuit Simulation 2.1 Starting the Project Manager 1. Select Project Manager from the Start All Program Cadence Release 16.5 Project Manager. 2. Select Allegro PCB Designer (Schematic) from

More information

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Make the layout window active and select Calibre > Run PEX from the top menu bar to start a Parasitic EXtraction. You will need

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

Lab 2: Functional Simulation Using. Affirma Analog Simulator

Lab 2: Functional Simulation Using. Affirma Analog Simulator Lab 2: Functional Simulation Using Affirma Analog Simulator This Lab will go over: 1. Creating a test bench 2. Simulation in Spectre Spice using the Analog Design environment 1. Creating a test bench:

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES Introduction to Active-HDL TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES This tutorial will use the 1-bit full adder you designed in Tutorial #1 to construct larger adders. This will introduce the

More information

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: October 10, 2011 Due: October 19, 2011, 4PM Reading: Rabaey Insert

More information

Using Synplify Pro, ISE and ModelSim

Using Synplify Pro, ISE and ModelSim Using Synplify Pro, ISE and ModelSim VLSI Systems on Chip ET4 351 Rene van Leuken Huib Lincklaen Arriëns Rev. 1.2 The EDA programs that will be used are: For RTL synthesis: Synplicity Synplify Pro For

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information