Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES

Size: px
Start display at page:

Download "Learning Outcomes. Spiral 2-9. Typical Logic Gate TRI-STATE GATES"

Transcription

1 2-9.1 Learning Outcomes Spiral 2-9 Tri-State Gates Memories DMA I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and writes I understand the pros and cons of SRAM and DRAM I understand how modern memories optimize sequential access I know what a DMA engine is and why it is used I understand the difference between big- and littleendian byte ordering and the problems that might arise when transferring between different orderings Typical Logic Gate Gates can output two values: 0 & 1 Logic 1 (Vdd = 3V or 5V), or Logic 0 (Vss = GND) But they are ALWAYS!!! Analogy: a sink faucet 2 possibilities: Hot ( 1 ) or Cold ( 0 ) In a real circuit, inputs cause a pathway from output to VDD VSS +3V +3V Hot Water = Cold Water = (Strapped together so always one type of water coming out) Inputs TRI-STAT GATS Inputs PMOS Output Inputs PMOS Output Vdd NMOS NMOS Vss

2 Output Connections Can we connect the output of two logic gates together?! Possible (static, low-resistance pathway from Vdd to GND) We call this situation bus Src 1 Src 2 Src 3 Vdd Vss Vdd Vss Inputs Inputs Src 1 Src Tri-State Buffers Normal digital gates can output two values: 0 & 1 1. Logic 0 = 0 volts 2. Logic 1 = 5 volts Tristate buffers can output a third value: 3. = = "Floating" (no connection to any voltage source infinite resistance) Analogy: a sink faucet 3 possibilities: 1.) Hot water, 2.) Cold water, 3.) NO water Inputs Hot Water = Logic 1 Cold Water = Logic 0 PMOS NMOS NO Water = +3V Output Tri-State Buffers Tri-State Buffers Tri-state buffers have an extra input When disabled, output is said to be at high impedance (a.k.a. Z) High Impedance is equivalent to no connection (i.e. floating output) or an infinite resistance It's like a brick wall between the output and any connection to source When enabled, normal buffer Tri-State Buffer In Out = In nable=1 In Out = nable=0 n In Out We use tri-state buffers to share one output amongst several sources Rule: Only at a time Src 1 N1 Src 2 N2 Src 3 D Q D-FF CLK Q N3

3 Tri-State Buffers We use tri-state buffers to share one output amongst several sources When 1 buffer enabled, its output overpowers the Z s (no connection) from the other gates Select source 1 to pass its data output of 0 overpowers the Z transmitter receivers Bidirectional Bus ach device can send (though ) or receive 0 1 Z 0 D Q D-FF CLK Q Z Disabled buffers output Z Tri-State Implementation To implement we simply add a pair of inner transistors that can BOTH be turned off This would isolate the output from any Vdd or GND connection Tri-State Implementation To implement we simply add a pair of inner transistors that can BOTH be turned off This would isolate the output from any Vdd or GND connection

4 Tri-State Implementation If 1 = 0 then the upper tri-state will isolate the output from any Vdd/GND connection If 2 = 1 then the lower tri-state acts as a normal inverter If both 1=0, 2=0 then the output is floating (Z = high impedance) TRANSMISSION GAT & PASS TRANSISTORS Why NMOS Pass Weak 1s We've said NMOS are: Pass a strong 0 but a weak 1 Let's explore why In the first case one side is tied to GND and thus is the ( side is the source) Vgs = Vdd and transistor will stay on no matter what the drain voltage is (eventually Vd will equal 0) In the second case one side is tied to Vdd and thus the other terminal is the (source is the lower voltage terminal) As the source node charges up eventually it will reach but at that point Vgs = Recall to stay on the transistor must have. So the transistor will turn off and not allow the source to charge all the way to Vdd Why PMOS Pass Weak 0s We've said PMOS are: Pass a strong 1 but a weak 0 For similar reasons as on the previous slide when we try to pass a 0 through a PMOS transistor the transistor will turn off when This prevents the PMOS from passing a strong 0 Vdd S A=0 G D V out GND D A=0 G S V out

5 Transmission Gates Well if one is good at passing 0's and one is good at passing 1's why not use A Transmission gate puts a PMOS and NMOS transistors in Depending on the input voltage at the either the NMOS or PMOS can pull the drain up/down to that voltage Use inputs at each gate to ensure only one transistor is on Transmission Gates We can even connect the source to some other signal rather than a constant voltage In the example to the right, if A = 1 (A' = 0) then This gate produces when A=1 ( ) when A= Transmission Gate Symbols The CMOS TG operates as a bidirectional switch between nodes A and B, which is controlled by signal C More Transmission Gates By making complementary cases of transmission gates we can build some useful structures What is this structure? C A B C Different representations (symbols) of the CMOS TGs

6 More Transmission Gates What is this structure? MMORY The Memory Wall Problem: The Memory Wall Processor speeds have been increasing than memory access speeds (Memory technology targets density rather than speed) Large memories yield large address decode and access times Main memory is physically located on separate chips and inter-chip signals have much higher propagation delay than intra-chip signals MMORY TCHNOLOGIS 55%/year Processor-Memory Performance Gap 7%/year Hennessy and Patterson, Computer Architecture A Quantitative Approach (2003) lsevier Science

7 Improving Memory Performance Possibilities for improvement Technology Can we improve our transistor-level design to create faster RAMs Can we integrate memories on the same chip as our processing logic Architectural Can we organize memory in a more efficient manner (this is our focus) DRAM & SRAM Memory Technology Static RAM (SRAM) Will retain values (as long as ) Stored bit is remembered (driven and regenerated by circuitry) Dynamic RAM (DRAM) Will lose values if not Stored bit is remembered and needs to be regenerated by external circuitry Memory Array Logical View = 1D array of rows (words) Already this is 2D because each word is 32-bits (i.e. 32 columns) Physical View = 2D array of rows and columns ach row may contain 1000 s of columns (bits) though we have to access at least 8- (and often 16-, 32-, or 64-) bits at a time C8004DB2 0x000c 89AB97CD AB4982F AB 1D Logical View (ach row is a single word = 32-bits) 0x0008 0x0004 0x x x x D Physical View (e.g. a row is 1KB = 8Kb)

8 Mx8 Memory Array Layout and Column Start with array of cells that can each store 1-bit 1 MB = 8 Mbit = 2 23 total cells This can be broken into a 2D array of cells ( ) ach row connects to a WL = which selects that row ach column connects to a BL = for read/write data 8K Bit Lines BL[0] BL[8191] WL[0] 1K Word Lines WL[1023] For 1MB we need address bits 10 upper address bits can select one of the 1024 rows Suppose we always want to read/write an 8-bits (byte), then we will group each set of 8-bits into 1024 byte columns (1024*8=8K) 10 address bits will select the Addr. A[ ] -bits Addr. Decoder WL[0] 2 10 word lines WL[1023] 8K Bit Lines BL[0] BL[8191] Col. Addr. Amplifiers & Column Mux A[ ] -bits Data in/out [7:0] Periphery Logic Memory Technologies decoders selects one row based on the input address number Bit lines are bidirectional lines for reading (output) or writing (input) Column multiplexers use the address bits to select the right set of 8-bits from the 8K bit lines Addr. A[19:10] 10-bits Addr. Decoder WL[0] 2 10 word lines WL[1023] Addr. A[9:0] 10-bits 8K Bit Lines BL[0] BL[8191] Amplifiers & Column Mux Data in/out [7:0] Memory technologies share the same layout but differ in their cell implementation Static RAM (SRAM) Will retain values indefinitely (as long as power is on) When read, the stored bit is driven onto the bit lines Dynamic RAM (DRAM) Will lose values if not refreshed periodically When read, the stored bit pulls the bit line voltage up or down slightly and needs to be 8K Bit Lines BL[0] BL[8191] WL[0] Addr. Addr. Decoder WL[1023] Addr. Amplifiers & Column Mux Data in/out

9 SRAM DRAM ach memory cell requires transistors ach cell consists of a is made from cross connected inverters which have active connections to PWR and GND. Thus, the signal is and as long as power is supplied BL[0] D/Q SRAM Core nable Bit is stored on a capacitor and requires only transistor and a WL BL Transistor acting as a switch WL Capacitor SRAM core implementation DRAM DRAM Bit is stored on a capacitor and requires only 1 transistor Write WL=1 connects the capacitor to the BL which is driven to 1 or 0 and capacitor based on the BL value WL BL 1 Transistor acting as a switch Bit is stored on a capacitor and requires only 1 transistor Write WL=1 connects the capacitor to the BL which is driven to 1 or 0 and charges/discharges capacitor based on the BL value With WL=0 transistor is closed and value stored on the capacitor WL BL 0 Transistor is now off trapping charge on capacitor Capacitor maintains 5V charge

10 DRAM DRAM Issues Bit is stored on a capacitor and requires only 1 transistor Write WL=1 connects the capacitor to the BL which is driven to 1 or 0 and charges/discharges capacitor based on the BL value Read BL is precharged to 2.5 V WL=1 connects the capacitor to the BL allowing charge on capacitor to change the voltage on the BL WL Sense Amp. BL 2.7 V 2.5 V 2.3 V 1 Transistor acting as a switch Capacitor charge (or lack of charge) changes the BL voltage slightly Bitline is precharged to 2.5V and then capacitor charge slightly raises or lowers BL voltage Sense amp drives voltage the rest of the way to 5V or 0V Charge is when capacitor value is Need to write whatever is. Current Charge slowly the cap. Value must be periodically WL BL Transistor acting as a switch Capacitor SRAM vs. DRAM Summary SRAM because bit lines are actively driven by the D-Latch, simpler interface due to lack of refresh for each cell which means less memory per chip Used for where speed/latency is key DRAM because passive value (charge on cap.) drives BL due to refresh cycles means much greater density of cells and thus large memories Used for memory where density is key MMORY ARCHITCTURS

11 Implications of Memory Technology Legacy DRAM Timing Memory latency of a single access using current DRAM technology will be slow We must improve bandwidth Idea 1: Access than just a word at a time Technology: DO, SDRAM, etc. Idea 2: Increase number of accesses serviced Technology: Can have only a single access in-flight at once Memory controller must send row and column address portions for each access active holds the row open for reading/writing active selects the column to read/write t RC t RAC t RC = Cycle Time ( ) = Time before next access can start t RAC =Access Time ( ) = Time until data is valid Column Legacy DRAM (Must present new /Column address for each access) Decoder /RAS /CAS Timing Generator Column Muxes Data in / out Memory Array Fast Page Mode DRAM Timing DO DRAM Timing Can provide multiple column addresses with only one row address Similar to FPM but overlaps data i with column address i+1 /RAS /CAS Timing Generator Memory Array /RAS /CAS Timing Generator Memory Array Reg. Decoder Reg. Decoder Column Column Muxes Column Column Muxes Fast Page Mode (Future address that fall in same row can pull data from the latched row) Data in / out DO (xtended Data Out) Column address i+1 is sent while data i is being transferred on the bus Data in / out

12 Synchronous DRAM Timing DDR SDRAM Timing Registers the column address and automatically increments it, accessing n sequential data words in n successive clocks called n= or usually) /RAS /CAS Timing Generator CLK Memory Array Double data rate access data every clock cycle /RAS /CAS Timing Generator CLK Memory Array CLK /RAS /CAS Reg. Decoder Reg. Decoder MC Bus Data Bus Column Data i Data i+1 Data i+2 Data i+3 Column Reg/Cntr Column Latch/Register Column Muxes Column Reg/Cntr Column Latch/Register Column Muxes SDRAM (Synchronous DRAM) Addition of clock signal. Will get up to n consecutive words in the next n clocks after column address is sent Data in / out DDR SDRAM (Double-Data Rate SDRAM) Addition of clock signal. Will get up to 2n consecutive words in the next n clocks after column address is sent Data in / out Banking Bank Access Timing Divide memory into banks duplicating row/column decoder and other peripheral logic to create independent memory arrays that can access data in parallel uses a portion of the address to determine which bank to access Consecutive accesses to banks can be and hide the time to access the row and select the column Consecutive accesses within (to different rows) exposes the access latency Bank 0 Bank 1 / Column Bank 2 Bank 3 Bank Bank 0 Bank Bank 0 Data CLK MC Bus Data Bus 1 Col 1 2a Col 2a 2b Col 2b Delay due to bank conflict Data Data 1 Data 2a Data 2b Access 1 maps to bank 1 while access 2a maps to bank 2 allowing parallel access. However, access 2b immediately follows and maps to bank 2 causing a delay.

13 Programming Considerations For memory configuration given earlier, accesses to the same bank but different row occur on an 32KB boundary Now consider a matrix multiply of 8K x 8K integer matrices (i.e. 32KB x 32KB) In code below 0x while 0x Unused Rank Bank Col. Unused A31,A30 A29 A28 A15 A14,A13 A12 A3 A2..A0 0x x x m1 m int m1[8192][8192], m2[8192][8192], result[8192][8192]; int i,j,k;... for(i=0; i < 8192; i++){ for(j=0; j < 8192; j++){ result[i][j]=0; for(k=0; k < 8192; k++){ result[i][j] += matrix1[i][k] * matrix2[k][j]; } } } DMA & NDIAN-NSS Direct Memory Access (DMA) Data Transfer w/o DMA Large buffers of data often need to be copied between: (video data, network traffic, etc.) (OS space to user app. space) DMA devices are small hardware devices that copy data from a source to destination freeing the processor to do CPU I/O Device (USB) DMA System Bus I/O Bridge I/O Bus Memory I/O Device (Network) Without DMA, processor would have to move data using a loop Move 16Kwords pointed to by ($s1) to ($s2) li $t0,16384 AGAIN: lw $t1,0($s1) sw $t1,0($s2) addi $s1,$s1,4 addi $s2,$s2,4 subi $t0,$t0,1 bne $t0,$zero,again Processor wastes valuable execution time moving data CPU I/O Device (USB) System Bus I/O Bridge I/O Bus Memory I/O Device (Network)

14 Data Transfer w/ DMA DMA ngines Processor sets values in DMA control registers Control & Status (Start, Stop, Interrupt on Completion, etc.) DMA becomes (controls system bus to generate reads and writes) while processor is free to execute other code Small problem: Hopefully, data & code needed by the CPU will reside in CPU I/O Device (USB) DMA System Bus I/O Bridge I/O Bus DMA Control Registers Memory I/O Device (Network) Systems usually have multiple DMA engines/channels ach can be configured to be started/controlled by the processor or by certain I/O peripherals Network or other peripherals can initiate DMA s on their behalf Bus arbiter assigns control of the bus Usually winning requestor has control of the bus until it relinquishes it (turns off its request signal) Bus Masters Internal System Bus Slave devices Requests / Grants Processor Core DMA Channel 0 Bus Arbiter DMA Channel 1 DMA Channel 2 DMA Channel 3 Memory Peripheral Peripheral ndian-ness Big-endian vs. Little-endian ndian-ness refers to the two alternate methods of in a larger unit (word, long, etc.) Big-ndian PPC, Sparc MS byte is put at the starting address Little-ndian used by Intel processors / PCI bus LS byte is put at the starting address The longword value: 0 x can be stored differently 0x x x x x x x x03 12 Big-ndian Little-ndian es increasing downward Big-endian makes sense if you view your memory as starting at the top-left and addresses increasing as you go down C Little-endian makes sense if you view your memory as starting at the bottom-right and addresses increasing as you go up C es increasing upward Byte 0 Byte 1 Byte 2 Byte 3 Byte 3 Byte 2 Byte 1 Byte 0

15 Big-endian vs. Little-endian Issues arise when transferring data between different systems Byte-wise copy of data from big-endian system to little-endian system Major issue in networks (little-endian computer => big-endian computer) and even within a single computer (System memory => Peripheral (PCI) device) es increasing downward Big-ndian C Copy byte 0 to byte 0, byte 1 to byte 1, etc. 0 in big-endian system is now different that 0 in little-endian system Little-ndian C es increasing upward Sequential s BACKUP Byte 0 Byte 1 Byte 2 Byte 3 addr Byte 3 Byte 2 Byte 1 Byte Sequential Review Sequential Review We've seen how to build basic combinational gates but what about sequential circuits We can build the bistables and latches we've seen at the gate level with direct CMOS substitutions Replace each NOR or AND gate with it's CMOS transistor level equivalent We can also take advantage of our circuit level understanding of transistors, capacitance, and sizing to build the bistables and latches in other (possibly more efficient) ways The simplest sequential cell is just a feedback loop of inverters Problem how do we change the value, Q?

16 An SR-Latch An SR-Latch We can use pass transistors to pull the output Q or Q' to a new value Note: φ is the CLK signals Vdd Vdd Consider the case when Q(t=0)=0 and we then apply the set input After a short time the pass transistor connected to S and the pull down transistor connected from Q to GND will be in linear mode and form a voltage divider Some analysis will show us that we must make (W/L) M6 > (W/L) M3 by some appropriate factor to get Q to switch Vdd Vdd R Φ M5 Q M2 M1 M4 Q M3 Φ M6 S R Φ Q=1 =0 V Q (t) =? Φ = 0->1 M6 S=1 GND GND GND M3 GND

Spiral 2-9. Tri-State Gates Memories DMA

Spiral 2-9. Tri-State Gates Memories DMA 2-9.1 Spiral 2-9 Tri-State Gates Memories DMA 2-9.2 Learning Outcomes I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness EE 352 Unit 10 Memory System Overview SRAM vs. DRAM DMA & Endian-ness The Memory Wall Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology

More information

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall The Memory Wall EE 357 Unit 13 Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology targets density rather than speed) Large memories

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

SRAM. Introduction. Digital IC

SRAM. Introduction. Digital IC SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

EEM 486: Computer Architecture. Lecture 9. Memory

EEM 486: Computer Architecture. Lecture 9. Memory EEM 486: Computer Architecture Lecture 9 Memory The Big Picture Designing a Multiple Clock Cycle Datapath Processor Control Memory Input Datapath Output The following slides belong to Prof. Onur Mutlu

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 1 Multilevel Memories Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind CPU-Memory Bottleneck 6.823

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information

Digital Integrated Circuits Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

ECEN 449 Microprocessor System Design. Memories. Texas A&M University

ECEN 449 Microprocessor System Design. Memories. Texas A&M University ECEN 449 Microprocessor System Design Memories 1 Objectives of this Lecture Unit Learn about different types of memories SRAM/DRAM/CAM Flash 2 SRAM Static Random Access Memory 3 SRAM Static Random Access

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 12 DRAM, DMA Year 3 CS Academic year 2017/2018 1 st semester Lecturer: Radu Danescu The DRAM memory cell X- voltage on Cs; Cs ~ 25fF Write: Cs is charged or discharged

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Chapter 8 Memory Basics

Chapter 8 Memory Basics Logic and Computer Design Fundamentals Chapter 8 Memory Basics Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Memory definitions Random Access

More information

ECEN 449 Microprocessor System Design. Memories

ECEN 449 Microprocessor System Design. Memories ECEN 449 Microprocessor System Design Memories 1 Objectives of this Lecture Unit Learn about different types of memories SRAM/DRAM/CAM /C Flash 2 1 SRAM Static Random Access Memory 3 SRAM Static Random

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction Hardware/Software Introduction Chapter 5 Memory 1 Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 2 Introduction Embedded

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

ENEE 759H, Spring 2005 Memory Systems: Architecture and

ENEE 759H, Spring 2005 Memory Systems: Architecture and SLIDE, Memory Systems: DRAM Device Circuits and Architecture Credit where credit is due: Slides contain original artwork ( Jacob, Wang 005) Overview Processor Processor System Controller Memory Controller

More information

Memories: Memory Technology

Memories: Memory Technology Memories: Memory Technology Z. Jerry Shi Assistant Professor of Computer Science and Engineering University of Connecticut * Slides adapted from Blumrich&Gschwind/ELE475 03, Peh/ELE475 * Memory Hierarchy

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

CS650 Computer Architecture. Lecture 9 Memory Hierarchy - Main Memory

CS650 Computer Architecture. Lecture 9 Memory Hierarchy - Main Memory CS65 Computer Architecture Lecture 9 Memory Hierarchy - Main Memory Andrew Sohn Computer Science Department New Jersey Institute of Technology Lecture 9: Main Memory 9-/ /6/ A. Sohn Memory Cycle Time 5

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Spring 2018 :: CSE 502. Main Memory & DRAM. Nima Honarmand

Spring 2018 :: CSE 502. Main Memory & DRAM. Nima Honarmand Main Memory & DRAM Nima Honarmand Main Memory Big Picture 1) Last-level cache sends its memory requests to a Memory Controller Over a system bus of other types of interconnect 2) Memory controller translates

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 201 Memories Lecture 14: 1 Announcements HW6 will be posted tonight Lab 4b next week: Debug your design before the in-lab exercise Lecture 14: 2 Review:

More information

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell EEC 581 Computer Architecture Memory Hierarchy Design (III) Department of Electrical Engineering and Computer Science Cleveland State University The DRAM Cell Word Line (Control) Bit Line (Information)

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

CHAPTER X MEMORY SYSTEMS

CHAPTER X MEMORY SYSTEMS CHAPTER X-1 CHAPTER X CHAPTER X READ MEMORY NOTES ON COURSE WEBPAGE CONSIDER READING PAGES 285-310 FROM MANO AND KIME OTHER USEFUL RAM MATERIAL AT ARS TECHNICA CHAPTER X-2 INTRODUCTION -INTRODUCTION A

More information

CENG4480 Lecture 09: Memory 1

CENG4480 Lecture 09: Memory 1 CENG4480 Lecture 09: Memory 1 Bei Yu byu@cse.cuhk.edu.hk (Latest update: November 8, 2017) Fall 2017 1 / 37 Overview Introduction Memory Principle Random Access Memory (RAM) Non-Volatile Memory Conclusion

More information

CENG3420 Lecture 08: Memory Organization

CENG3420 Lecture 08: Memory Organization CENG3420 Lecture 08: Memory Organization Bei Yu byu@cse.cuhk.edu.hk (Latest update: February 22, 2018) Spring 2018 1 / 48 Overview Introduction Random Access Memory (RAM) Interleaving Secondary Memory

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?! University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Memory Let s Name Some Groups of Bits I need your help. The computer we re going

More information

The Memory Hierarchy Part I

The Memory Hierarchy Part I Chapter 6 The Memory Hierarchy Part I The slides of Part I are taken in large part from V. Heuring & H. Jordan, Computer Systems esign and Architecture 1997. 1 Outline: Memory components: RAM memory cells

More information

15-740/ Computer Architecture Lecture 19: Main Memory. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 19: Main Memory. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 19: Main Memory Prof. Onur Mutlu Carnegie Mellon University Last Time Multi-core issues in caching OS-based cache partitioning (using page coloring) Handling

More information

CS152 Computer Architecture and Engineering Lecture 16: Memory System

CS152 Computer Architecture and Engineering Lecture 16: Memory System CS152 Computer Architecture and Engineering Lecture 16: System March 15, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

Memory Hierarchy and Caches

Memory Hierarchy and Caches Memory Hierarchy and Caches COE 301 / ICS 233 Computer Organization Dr. Muhamed Mudawar College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals Presentation Outline

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

Introduction to memory system :from device to system

Introduction to memory system :from device to system Introduction to memory system :from device to system Jianhui Yue Electrical and Computer Engineering University of Maine The Position of DRAM in the Computer 2 The Complexity of Memory 3 Question Assume

More information

18-447: Computer Architecture Lecture 25: Main Memory. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 4/3/2013

18-447: Computer Architecture Lecture 25: Main Memory. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 4/3/2013 18-447: Computer Architecture Lecture 25: Main Memory Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 4/3/2013 Reminder: Homework 5 (Today) Due April 3 (Wednesday!) Topics: Vector processing,

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 13 Memory and Interfaces 2005-3-1 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder Chapter 6 (Lect 3) Counters Continued Unused States Ring counter Implementing with Registers Implementing with Counter and Decoder Sequential Logic and Unused States Not all states need to be used Can

More information

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM Memories Overview Memory Classification Read-Only Memory (ROM) Types of ROM PROM, EPROM, E 2 PROM Flash ROMs (Compact Flash, Secure Digital, Memory Stick) Random Access Memory (RAM) Types of RAM Static

More information

Where Have We Been? Ch. 6 Memory Technology

Where Have We Been? Ch. 6 Memory Technology Where Have We Been? Combinational and Sequential Logic Finite State Machines Computer Architecture Instruction Set Architecture Tracing Instructions at the Register Level Building a CPU Pipelining Where

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

RTL Design (2) Memory Components (RAMs & ROMs)

RTL Design (2) Memory Components (RAMs & ROMs) RTL Design (2) Memory Components (RAMs & ROMs) Memory Components All sequential circuit have a form of memory Register, latches, etc However, the term memory is generally reserved for bits that are stored

More information

Memory Pearson Education, Inc., Hoboken, NJ. All rights reserved.

Memory Pearson Education, Inc., Hoboken, NJ. All rights reserved. 1 Memory + 2 Location Internal (e.g. processor registers, cache, main memory) External (e.g. optical disks, magnetic disks, tapes) Capacity Number of words Number of bytes Unit of Transfer Word Block Access

More information

CS 261 Fall Mike Lam, Professor. Memory

CS 261 Fall Mike Lam, Professor. Memory CS 261 Fall 2016 Mike Lam, Professor Memory Topics Memory hierarchy overview Storage technologies SRAM DRAM PROM / flash Disk storage Tape and network storage I/O architecture Storage trends Latency comparisons

More information

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB) CS6290 Memory Views of Memory Real machines have limited amounts of memory 640KB? A few GB? (This laptop = 2GB) Programmer doesn t want to be bothered Do you think, oh, this computer only has 128MB so

More information

CSE140: Components and Design Techniques for Digital Systems

CSE140: Components and Design Techniques for Digital Systems CSE140: Componts and Design Techniques for Digital Systems Tajana Simunic Rosing 1 Outline Today: Memory ROM RAM FIFO Queue Next: Register Transfer Level Design 2 CSE140: Componts and Design Techniques

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: November 28, 2017 at 14:31 CS429 Slideset 18: 1 Random-Access Memory

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: April 9, 2018 at 12:16 CS429 Slideset 17: 1 Random-Access Memory

More information

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Organization Part II Ujjwal Guin, Assistant Professor Department of Electrical and Computer Engineering Auburn University, Auburn,

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

UNIT V (PROGRAMMABLE LOGIC DEVICES)

UNIT V (PROGRAMMABLE LOGIC DEVICES) UNIT V (PROGRAMMABLE LOGIC DEVICES) Introduction There are two types of memories that are used in digital systems: Random-access memory(ram): perform both the write and read operations. Read-only memory(rom):

More information

Lecture-14 (Memory Hierarchy) CS422-Spring

Lecture-14 (Memory Hierarchy) CS422-Spring Lecture-14 (Memory Hierarchy) CS422-Spring 2018 Biswa@CSE-IITK The Ideal World Instruction Supply Pipeline (Instruction execution) Data Supply - Zero-cycle latency - Infinite capacity - Zero cost - Perfect

More information

Memory Classification revisited. Slide 3

Memory Classification revisited. Slide 3 Slide 1 Topics q Introduction to memory q SRAM : Basic memory element q Operations and modes of failure q Cell optimization q SRAM peripherals q Memory architecture and folding Slide 2 Memory Classification

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Cache 11232011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Review Memory Components/Boards Two-Level Memory Hierarchy

More information

Summary of Computer Architecture

Summary of Computer Architecture Summary of Computer Architecture Summary CHAP 1: INTRODUCTION Structure Top Level Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output

More information

Emerging DRAM Technologies

Emerging DRAM Technologies 1 Emerging DRAM Technologies Michael Thiems amt051@email.mot.com DigitalDNA Systems Architecture Laboratory Motorola Labs 2 Motivation DRAM and the memory subsystem significantly impacts the performance

More information

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, 2007-2016 DRAM Bandwidth MEMORY ACCESS PERFORMANCE Objective To learn that memory bandwidth is a first-order performance factor in

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information

ECE 341. Lecture # 16

ECE 341. Lecture # 16 ECE 341 Lecture # 16 Instructor: Zeshan Chishti zeshan@ece.pdx.edu November 24, 2014 Portland State University Lecture Topics The Memory System Basic Concepts Semiconductor RAM Memories Organization of

More information

ECSE-2610 Computer Components & Operations (COCO)

ECSE-2610 Computer Components & Operations (COCO) ECSE-2610 Computer Components & Operations (COCO) Part 18: Random Access Memory 1 Read-Only Memories 2 Why ROM? Program storage Boot ROM for personal computers Complete application storage for embedded

More information

Memory. Lecture 22 CS301

Memory. Lecture 22 CS301 Memory Lecture 22 CS301 Administrative Daily Review of today s lecture w Due tomorrow (11/13) at 8am HW #8 due today at 5pm Program #2 due Friday, 11/16 at 11:59pm Test #2 Wednesday Pipelined Machine Fetch

More information

CpE 442. Memory System

CpE 442. Memory System CpE 442 Memory System CPE 442 memory.1 Outline of Today s Lecture Recap and Introduction (5 minutes) Memory System: the BIG Picture? (15 minutes) Memory Technology: SRAM and Register File (25 minutes)

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved. Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Internal Memory http://www.yildiz.edu.tr/~naydin 1 2 Outline Semiconductor main memory Random Access Memory

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 13 COMPUTER MEMORY So far, have viewed computer memory in a very simple way Two memory areas in our computer: The register file Small number

More information

CPS101 Computer Organization and Programming Lecture 13: The Memory System. Outline of Today s Lecture. The Big Picture: Where are We Now?

CPS101 Computer Organization and Programming Lecture 13: The Memory System. Outline of Today s Lecture. The Big Picture: Where are We Now? cps 14 memory.1 RW Fall 2 CPS11 Computer Organization and Programming Lecture 13 The System Robert Wagner Outline of Today s Lecture System the BIG Picture? Technology Technology DRAM A Real Life Example

More information

a) Memory management unit b) CPU c) PCI d) None of the mentioned

a) Memory management unit b) CPU c) PCI d) None of the mentioned 1. CPU fetches the instruction from memory according to the value of a) program counter b) status register c) instruction register d) program status word 2. Which one of the following is the address generated

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information