Setup/Hold. Set Up time (t su ):

Size: px
Start display at page:

Download "Setup/Hold. Set Up time (t su ):"

Transcription

1 Lecture 10 Agenda

2 Set Up time (t su ): Setup/Hold Minimum amount of time the data is to be held steady prior to the clock event Hold time (t h ): Minimum amount of time the data is to be held steady after the clock event

3 Metastability Metastability in electronics is the ability of a digital electronic system to persist for an unbounded time in an unstable equilibrium (between 1 and 0) or metastable state. Metastability in flip-flops can be avoided by ensuring that the data and control inputs are held valid and constant for specified setup (t su ) and the hold (t h ) times. Metastability is dependent on FF gain bandwidths Metastable state State_0 State_1 D D Q Q clk clk D Q

4 Metastability- 4 possibilities clk D Q clk D Q clk D Q clk D Q

5 Mechanical Switches Most (except mercury) mechanical switches will bounce. Vdd Push Button out 1-20ms out

6 Why is bouncing a problem? Will cause metastibility if bounce occurs on a clock edge Vdd Push Button out D Q Q clk clk D Q Will record numerous events

7 What is a synchronizer? A circuit to reduce the possibility that an asynchronous signal cases metastability. A signal from the outside world or from another clock domain is asynchronous Since the signal is asynchronous it can change on a clock edge and cause metastability A synchronizer circuit assumes the signal is not bouncing

8 Eliminating Bounce with a Synchronizer Cycle time (i.e. clock period) must be > total bounce time get_rdid q1 D Q D Q get_rdid_debounce clk clk get_rdid q1 get_rdid_debounce

9 Eliminating Bounce with a Counter Increment a counter anytime async_in!= sync_out Clear the counter anytime async_in == sync_out When counter = MAX async_in =!sync_out Critical constraint: How long will bounce stay at: 0 for a low going input 1 for a high going input async_in 3ms 3ms 1ms 1ms sync_out

10 Eliminating Bounce with a Counter (cont.) 1ms 1ms async_ in counter clr cnt clr cnt clr cnt clr cnt=max clr cnt clr cnt clr cnt clr cnt=max clr sync_out For 10MHz clock and a 16-bit counter, input must be stable for 16 to detect a change max_ count 2 frequency ms

11 User Constraints File (UCF) Template UCF for the Spartan 3E Starter board is in the back of the Spartan 3E Starter Kit User Guide Most of the constraints in a UCF file associate a resource I/O with an FPGA pin Syntax is NET instance_name LOC= location <options>; Where instance_name is an I/O in your top level design location is a pin on the FPGA <options> are IOSTANDARD, PULLUP, etc.

12 Spartan-3E supported I/O standards Single Ended LVTTL - Low Voltage TTL (3.3V) LVCMOS - LOW Voltage CMOS (1.2V 3.3V) PCI - Peripheral Component Interface (3.3V) GTL Gunning Transceiver Interface HSTL High Speed Transceiver Logic (1.5V or 1.8V) SSTL3 Stub Series Terminated Logic (3.3V) SSTL2 Stub Series Terminated Logic (2.5V) SSTL18 - Stub Series Terminated Logic (1.8V) Differential LVDS Low Voltage Differential Signaling (350mV P-P) BLVDS Bus LVDS (350mV P-P, requires ext. resistor termination) LVPECL Low Voltage Positive Emitter Coupled Logic (850mV P-P) LDT - Lightning Data Transport (2.5V VCCIO) MINI_LVDS LVDSEXT - LVDS Extended ( mV P-P) RSDS - Reduced Swing Differential Signaling TMDS - Transition Minimized Differential Signaling PPDS - Point-to-Point Differential Signaling Source: Spartan-3 Generation FPGA User Guide

13 Single Ended/Differential

14 Benefits of Differential Signaling Ground Offset Tolerance The receiver only interprets the signal difference. Reference voltage is user controlled Allows for longer signal distances Gives twice the noise immunity of a single ended system Noise is most often common mode Allows for smaller signals EMI and crosstalk resistant with balanced lines Same amount of noise impressed on each signal. Minimization of Common Mode Noise EMI Radiation Opposing EM fields tend to cancel.

15 User Constraints File (UCF) For example FPGA pin C9 is connected to the on-board crystal oscillator To use the crystal need to specify: The net that connects to it (CCLK) The IO interface standard and voltage level (LVCMOS33) FPGA module rdid_master(input CCLK,...);... endmodule C9 Crystal

16 UCF syntax # Pin assignment for LEDs NET "LD7" LOC = "G1" ; # Bank = 3, Signal name = LD7 NET "LD6" LOC = "P4" ; # Bank = 2, Signal name = LD6 # Pin assignment for SWs NET "SW7" LOC = "N3"; # Bank = 2, Signal name = SW7 NET "SW6" LOC = "E2"; # Bank = 3, Signal name = SW6 # clock pin for Basys2 Board NET "mclk" LOC = "B8"; # Bank = 0, Signal name = MCLK LOC (LOCation) is defined by the BASYS2 PCB layout Net name is user defined # - Comment

17 BASYS2 Development Board Build programming file (bit stream) using Xilinx ISE Program via USB port using Digilent s Adept software Both are free Source: Digilent Basys2 Reference Manual

18 BASYS2 Development Board Board Powered via USB port Power switch ON when red LED lit Source: Digilent Basys2 Reference Manual

19 User I/O BASYS2 Development Board Four momentary switches Eight slide switches Eight LEDs Four digit, seven segment display Source: Digilent Basys2 Reference Manual

20 BASYS2 Development Board Download ISE WebPack: Download Digilent Adept System: Source: Digilent Basys2 Reference Manual

21 Xilinx ISE Start Xilinx 64 bit Project Naviagator Click on New Project.

22 Indicate device Xilinx ISE

23 Xilinx ISE Add ucf and Verilog module Project > Add Source

24 Xilinx ISE Edit ucf and Verilog as required

25 Xilinx ISE Specify FPGA Start up Clock Process > Process Properties > Startup Options

26 Xilinx ISE Create bit stream

27 Digilent Adept power up BASYS2 PCB, start Adept

28 Digilent Adept Indicate Config (bit stream) file

29 Program Power LED will flash Digilent Adept Slide switches should now control LEDs

30 Lab4 (40 points) Lab 4 Using Xilinx ISE Webpack and Digilent Adept, program FPGA to control each of the eight LEDs using the eight slide switches. Deliverables Verilog code UCF file Screen shots of ISE Demonstration of the LEDs/switches, either in person or via video.

5.14 Algorithmic State Machine (ASM) Charts

5.14 Algorithmic State Machine (ASM) Charts 5.4 Algorithmic State Machine (ASM) Charts An ASM chart is an alternative method for describing a state machine More directly shows the sequential steps of a state machine. Easier to understand input priority

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

XILINX ISE AND SPARTAN 3AN TUTORIAL

XILINX ISE AND SPARTAN 3AN TUTORIAL XILINX ISE AND SPARTAN 3AN TUTORIAL SYNTETIZE AND SIMULATION------------------------------------------ This tutorial will show you how to create a simple Xilinx ISE project based on the Spartan-3 Board.

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Circuit design with configurable devices (FPGA)

Circuit design with configurable devices (FPGA) 1 Material Circuit design with configurable devices (FPGA) Computer with Xilinx's ISE software installed. Digilent's Basys2 prototype board and documentation. Sample design files (lab kit). Files and documents

More information

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 7: Basic Latches EE 1315: DIGITAL LOGIC LAB EE Dept, UMD Latches are primitive memory elements of sequential circuits that are used in building simple noise filtering circuits and flip-flops.

More information

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board) Note: you will need the Xilinx ISE Webpack installed on your computer (or you

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

Tektronix DPO Demo 1 Board Instruction Manual

Tektronix DPO Demo 1 Board Instruction Manual xx ZZZ Tektronix DPO Demo 1 Board Instruction Manual www.tektronix.com *P071253900* 071-2539-00 Copyright Tektronix. All rights reserved. Licensed software products are owned by Tektronix or its subsidiaries

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders Department of Electrical Engineering University of California Riverside Laboratory #6 EE 120 A LABORATORY # 6 * L A B M A N U A L Datapath Components - Adders * EE and CE students must attempt also to

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

MMOD-UK-XC2C Xilinx XC2C128 CPLD development kit Getting started guide

MMOD-UK-XC2C Xilinx XC2C128 CPLD development kit Getting started guide Xilinx XC2C128 CPLD development kit Ver. 01.00 01/08/2007 History Version Date Changes Author 01.00 01/08/2007 Initial version MM99 mm99@mentalmod.com Page 2 of 15 www.mentalmod.com 01/08/2007 Table of

More information

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Tajana Simunic Rosing Source: Eric Crabill, Xilinx 1 More complex behavioral model module life (n0, n1, n2, n3, n4, n5, n6,

More information

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks]

Digital Systems EEE4084F FPGA Introduction Verilog and Xilinx ISE [30 Marks] Digital Systems EEE4084F 2017-05-10 FPGA Introduction Verilog and Xilinx ISE [30 Marks] Background This practical is divided into two parts. The first is a tutorial that shows you how to set up a new FPGA

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu) Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu) Note: you will need the Xlinx ISE Webpack installed on your compuer (or

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Using High-Speed Differential I/O Interfaces

Using High-Speed Differential I/O Interfaces Using High-Speed Differential I/O Interfaces in Stratix Devices December 2002, ver. 2.0 Application Note 202 Introduction Preliminary Information To achieve high data transfer rates, Stratix TM devices

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Digital Design Using Verilog and FPGAs An Experiment Manual. Chirag Sangani Abhishek Kasina

Digital Design Using Verilog and FPGAs An Experiment Manual. Chirag Sangani Abhishek Kasina Digital Design Using Verilog and FPGAs An Experiment Manual Chirag Sangani Abhishek Kasina ii Contents I Combinatorial and Sequential Circuits 1 1 Seven-Segment Decoder 3 1.1 Concept.........................................

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Introduction to Nexys 2 board - Detour Signal Lab

Introduction to Nexys 2 board - Detour Signal Lab 1. Synopsis: Introduction to Nexys 2 board - This lab introduces the use of Field Programmable Gate Arrays (FPGA). This lab introduces the Digilent Nexys 2 board and demonstrate FPGA design flow through

More information

Features. o HCSL, LVPECL, or LVDS o Mixed Outputs: LVPECL/HCSL/LVDS. o Ext. Industrial: -40 to 105 C o o. o 30% lower than competing devices

Features. o HCSL, LVPECL, or LVDS o Mixed Outputs: LVPECL/HCSL/LVDS. o Ext. Industrial: -40 to 105 C o o. o 30% lower than competing devices DSC55704 Crystalless Three Output PCIe Clock Generator General Description The DSC55704 is a Crystalless, three output PCI express clock generator meeting Gen1, Gen2, and Gen3 specifications. The clock

More information

FPGA Discovery-III XC3S200 Board Manual

FPGA Discovery-III XC3S200 Board Manual FPGA Discovery-III XC3S200 Board Manual 77/9 SOI LADPRAO 1, LADPRAO ROAD, JOMPOL, JATUJAK DISTRICT, BANGKOK THAILAND 10900 TEL. 66(0)2939-2084 FAX.66(0)2939-2084 http://www.ailogictechnology.com 1 FPGA

More information

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port Digilent Digilab 2 Reference Manual www.digilentinc.com Revision: 5/7/02 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilab 2 development board (the D2) features the

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

4I39 RS-422 ANYTHING I/O MANUAL

4I39 RS-422 ANYTHING I/O MANUAL 4I39 RS-422 ANYTHING I/O MANUAL V1.0 Table of Contents GENERAL.......................................................... 1 DESCRIPTION................................................. 1 HARDWARE CONFIGURATION........................................

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Intel MAX 10 General Purpose I/O User Guide

Intel MAX 10 General Purpose I/O User Guide Intel MAX 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 I/O Overview...3

More information

Digilab 2 Reference Manual

Digilab 2 Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 Reference Manual Revision: November 19, 2001 Overview The Digilab 2 (D2) development board

More information

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 Goals ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004 1. To review the use of Verilog for combinational logic design. 2. To become familiar with using the Xilinx ISE software

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

Creating Pin-Out Prior to Implementation with PACE Author: Chris Zeh

Creating Pin-Out Prior to Implementation with PACE Author: Chris Zeh Application Note: FPGAs XAPP423 (v1.0) October 19, 2004 Creating Pin-Out Prior to Implementation with PACE Author: Chris Zeh Summary This Application Note discusses the procedures and some commonly asked

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the

More information

DSC Q0093. General Description. Features. Applications. Block Diagram. Crystal-less Configurable Clock Generator

DSC Q0093. General Description. Features. Applications. Block Diagram. Crystal-less Configurable Clock Generator Crystal-less Configurable Clock Generator General Description The is a four output crystal-less clock generator. It utilizes Microchip's proven PureSilicon MEMS technology to provide excellent jitter and

More information

The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA.

The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA. General Instructions The assignments will help you learn Verilog as a Hardware Description Language and how hardware circuits can be developed using Verilog and FPGA. You have to come to the lab during

More information

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

Interfacing a PS/2 Keyboard

Interfacing a PS/2 Keyboard Lab 3 in SMD52 Interfacing a PS/2 Keyboard Introduction In this lab you will interface a PS/2 keyboard (standard PC keyboard) with the XSB board. Scan codes will be received from the keyboard and displayed

More information

Frequency Generator for Pentium Based Systems

Frequency Generator for Pentium Based Systems Integrated Circuit Systems, Inc. ICS969C-23 Frequency Generator for Pentium Based Systems General Description The ICS969C-23 is a low-cost frequency generator designed specifically for Pentium-based chip

More information

Lesson-11: Sophisticated Interfacing Features in Device Ports DEVICES AND COMMUNICATION BUSES FOR DEVICES NETWORK

Lesson-11: Sophisticated Interfacing Features in Device Ports DEVICES AND COMMUNICATION BUSES FOR DEVICES NETWORK DEVICES AND COMMUNICATION BUSES FOR DEVICES NETWORK Lesson-11: Sophisticated Interfacing Features in Device Ports 1 Sophisticated Interfacing Features A device port may not be as simple as the one for

More information

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board. This lab is based on: Xilinx Project Navigator, Release Version 14.6 Digilent Adept System Rev 2.7, Runtime Rev 2.16 Digilent

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

16-Channel 16-Bit Differential High-Speed PMC Analog Output Board

16-Channel 16-Bit Differential High-Speed PMC Analog Output Board 66-16AO16 16-Channel 16-Bit Differential High-Speed PMC Analog Output Board With 450,000 Samples per Second per Channel, and 66 MHz PCI Support Available in PMC, PCI, cpci and PC104-Plus and PCI Express

More information

5I23 ANYTHING I/O MANUAL

5I23 ANYTHING I/O MANUAL 5I23 ANYTHING I/O MANUAL 1.7 This page intentionally not blank - 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Clock Tree Design Considerations

Clock Tree Design Considerations Tree Design Considerations Hardware design in high performance applications such as communications, wireless infrastructure, servers, broadcast video and test and measurement is becoming increasingly complex

More information

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines Lecture 3 Behavioral Modeling Sequential Circuits Registers Counters Finite State Machines Behavioral Modeling Behavioral Modeling Behavioral descriptions use the keyword always, followed by optional event

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Design of Sequential Logic: Flip flops, counter, state machine, stacks

Design of Sequential Logic: Flip flops, counter, state machine, stacks Design of Sequential Logic: Flip flops, counter, state machine, stacks 1 Today s goal Learn how to use always and if statements to design flip flops. Learn how to design sequential logic such as counters,

More information

Opal Kelly. XEM3005 User s Manual. A compact (64mm x 42mm) integration board featuring the Xilinx Spartan-3E FPGA and on-board SDRAM.

Opal Kelly. XEM3005 User s Manual. A compact (64mm x 42mm) integration board featuring the Xilinx Spartan-3E FPGA and on-board SDRAM. Opal Kelly XEM3005 User s Manual A compact (64mm x 42mm) integration board featuring the Xilinx Spartan-3E FPGA and on-board SDRAM. The XEM3005 is a compact USB-based FPGA integration board featuring the

More information

Waveform and Timing Generator Description

Waveform and Timing Generator Description I. Abstract A PC-controlled Waveform and Timing Generator (WTG) Instrument was developed using the Opal Kelly XEM3001 PCB mated with an Optiphase custom adapter PCB. The WTG Instrument was developed to

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader Spartan-6 LX9 MicroBoard Embedded Tutorial Lab 6 Creating a MicroBlaze SPI Flash Bootloader Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/17/11 Table

More information

Interfacing LVPECL 3.3V Drivers with Xilinx 2.5V Differential Receivers Author: Mark Wood

Interfacing LVPECL 3.3V Drivers with Xilinx 2.5V Differential Receivers Author: Mark Wood XAPP696 (v1.3) May 1, 2008 Application Note: Virtex-II Pro, Virtex-4, Virtex-5, Spartan-3/3E Families Interfacing LVPECL 3.3V Drivers with Xilinx 2.5V Differential eceivers Author: Mark Wood Summary This

More information

4I68 ANYTHING I/O MANUAL

4I68 ANYTHING I/O MANUAL 4I68 ANYTHING I/O MANUAL 1.8 This page intentionally not blank - 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

FPGA Development Board For Applications in Cosmic Rays Physics

FPGA Development Board For Applications in Cosmic Rays Physics Faculty of Mathematics & Natural Science FMNS 2013 FPGA Development Board For Applications in Cosmic Rays Physics Ivo Angelov 1, Svetla Dimitrova 2, Krasimir Damov 1 1 - South West University Neofit Rilski

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Spartan-6 FPGA SelectIO Resources

Spartan-6 FPGA SelectIO Resources Spartan-6 FPGA SelectIO Resources User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the "ocumentation") to you solely for use in the development of designs to

More information

Architecture by Xilinx, Inc. All rights reserved.

Architecture by Xilinx, Inc. All rights reserved. Architecture 2002 by Xilinx, Inc. All rights reserved. Spartan-IIE Technical Details Table of Contents Spartan-IIE Overview Logic and Routing Embedded Memory System Clock Management Interfaces Select I/O

More information

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) Edwin NC Mui Custom R & D Engineer Texco Enterprise Ptd. Ltd. {blackgrail2000@hotmail.com} Abstract This paper presents a

More information

ECT 357: Microprocessors I

ECT 357: Microprocessors I ECT 357: Microprocessors I Xilinx ISE WebPACK 12.4 Installation Instructions 1. Go to the Xilinx website http://www.xilinx.com/support/download/index.htm and select the Full Installer for Windows option

More information

5I20 ANYTHING I/O MANUAL

5I20 ANYTHING I/O MANUAL 5I20 ANYTHING I/O MANUAL Version 1.9 This page intentionally not blank 12 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Digital Discovery Reference Manual

Digital Discovery Reference Manual Digital Discovery Reference Manual The Digilent Digital Discovery is a combined logic analyzer and pattern generator instrument that was created to be the ultimate embedded development companion. The Digital

More information

Exercise 1: Introduction to Digital Circuits EE214 Fall 2014

Exercise 1: Introduction to Digital Circuits EE214 Fall 2014 Exercise 1: Introduction to Digital Circuits EE214 Fall 2014 I am submitting my own work in this exercise, and I am aware of the penalties for cheating that will be assessed if I submit work for credit

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Models 72664, Model 74664 Model 73664 General Information Models 72664, are members of the Cobalt family of high-performance CompactPCI s based on the Xilinx Virtex-6 FPGA. They

More information

5. Using MAX V Devices in Multi-Voltage Systems

5. Using MAX V Devices in Multi-Voltage Systems June 2017 MV51005-2017.06.16 5. Using MAX V Devices in Multi-Voltage Systems MV51005-2017.06.16 This chapter describes how to implement Altera devices in multi-voltage systems without damaging the device

More information

7. High-Speed Differential Interfaces in the Cyclone III Device Family

7. High-Speed Differential Interfaces in the Cyclone III Device Family December 2011 CIII51008-4.0 7. High-Speed Dierential Interaces in the Cyclone III Device Family CIII51008-4.0 This chapter describes the high-speed dierential I/O eatures and resources in the Cyclone III

More information

MAX5216PMB1 Peripheral Module

MAX5216PMB1 Peripheral Module 9-6; Rev 0; 5/ MAX56PMB Peripheral Module General Description The MAX56PMB peripheral module provides the necessary hardware to interface the MAX56 6-bit DAC to any system that utilizes PmodK-compatible

More information

Xilinx ISE Synthesis Tutorial

Xilinx ISE Synthesis Tutorial Xilinx ISE Synthesis Tutorial The following tutorial provides a basic description of how to use Xilinx ISE to create a simple 2-input AND gate and synthesize the design onto the Spartan-3E Starter Board

More information

PC104P-16AO2-MF Two-Channel 16-Bit High-Speed Analog Output PMC Board With 400,000 Samples per Second per Channel, and Independent Clocking

PC104P-16AO2-MF Two-Channel 16-Bit High-Speed Analog Output PMC Board With 400,000 Samples per Second per Channel, and Independent Clocking PC104P-16AO2-MF Two-Channel 16-Bit High-Speed Analog Output PMC Board With 400,000 Samples per Second per Channel, and Independent Clocking Features: Two Precision Differential 2-Wire High-Speed Analog

More information

Basic Concepts. Task One: The Basic Latch. Laboratory Nine Latches, RAM & Android Architecture

Basic Concepts. Task One: The Basic Latch. Laboratory Nine Latches, RAM & Android Architecture Laboratory Nine Latches, RAM & Android Architecture Basic Concepts 1. The most basic element of binary storage is the latch, consisting of 2 cross-coupled NAND (or NOR) gates. 2. The D-latch with Enable

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

Experiment # 4 Introduction to FPGAs - Detour Signal Lab

Experiment # 4 Introduction to FPGAs - Detour Signal Lab 1. Synopsis: Experiment # 4 Introduction to FPGAs - Detour Signal Lab This lab introduces the use of Field Programmable Gate Arrays (or FPGAs, for short) for prototyping of digital circuits. Through the

More information

Opal Kelly. XEM6002 User s Manual

Opal Kelly. XEM6002 User s Manual Opal Kelly XEM6002 User s Manual A business-card sized (3.5 x 2.0 ) semiconductor evaluation platform featuring the Xilinx Spartan-6 FPGA and four Pmod TM connectors. The XEM6002 is a small, business-card

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

EE260: Digital Design, Spring 2018

EE260: Digital Design, Spring 2018 Topics Verilog Module 1 Introduction Yao Zheng (Based on the slides of Prof. Jim Duckworth) Background to Verilog Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture Nexys

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Read through each section completely before starting so that you have the benefit of all the directions. Put on a grounded wrist strap (cf. Getting Started) before touching

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx ISE 8.1i Project Navigator: Select File > New Project in the opened window 2 Select a

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Features Model 71865 Complete software radio receiver solution for extremely high-channelcount applications Uses Xilinx Kintex Ultra- Scale KU035 FPGA Two 16-bit A/Ds Four wideband

More information

CPU design: instruction set, control logic, machine program

CPU design: instruction set, control logic, machine program CPU design: instruction set, control logic, machine program 1 Today s goal Learn the stack architecture of of the tinycpu. Learn how to determine and describe the control logic. Learn machine programs

More information

Board Module. for. FPGA Family

Board Module. for. FPGA Family EVALXCS User Manual Board Module for FPGA Family EVALXCS User Manual 2/2 Manual: EVALXCS Version 1.1 August 1999 EVALXCS Version 1.2 October 1999 This manual describes the technical properties and the

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information