Platform for System LSI Development

Size: px
Start display at page:

Download "Platform for System LSI Development"

Transcription

1 Platform for System LSI Development Hitachi Review Vol. 50 (2001), No SOCplanner : Reducing Time and Cost in Developing Systems Tsuyoshi Shimizu Yoshio Okamura Yoshimune Hagiwara Akihisa Uchida OVERVIEW: The SOC (system-on-a-chip) design methodology allows the configuration of whole systems from one or several chips in order to realize SIP (system in package) modules or MCMs (multi-chip modules). To design such chips, Hitachi has developed the SOCplanner system-on-a-chip platform for the quick implementation of hardware and software at low cost. The platform consists of libraries, design tools and design methodologies for effective system design. INTRODUCTION DEMANDS for performance improvements and reductions in power consumption, and shorter life cycles place a constant pressure on the production of electronic appliances. It is thus necessary to increase the ratio of software in such products and to accelerate the systematization of their production. Therefore, finding the optimum balance of hardware and software and co-verification of hardware and software are playing important roles in reducing the efforts involved in design. Deep-submicron technology has realized densities of several million gates and clock speeds in the several hundreds of megahertz. It has consequently become more difficult than ever for designers to efficiently develop and design large-scale, complicated, highspeed products in short periods. Hitachi s system-ona-chip platform provides the solution to those problems. OVERVIEW OF THE SYSTEM-ON-A-CHIP PLATFORM This system-on-a-chip platform has four parts: (1) a system development environment, (2) an environment for designing LSI chips, (3) intellectual property (IP) cores, OS (operating system) and middleware, and (4) silicon-technology platform (see Fig. 1). The system development environment (SDE) provides (1) high-performance software-development tools for developing systems in which Hitachi s microcomputers are to be used, (2) a hardware/ System Development Procedure SOCplanner System LSI Platform SOC: system-on-a-chip IP: intellectual property CPU: central processing unit OS: operating system System specifications Software specifications Hardware specifications System development environment Software development Hardware/software co-verification System evaluation LSI chip design LSI chip fabrication LSI chip design environment IP, CPU core, OS, middleware Silicon technology platform p76c device cell library Fig. 1 The SOCplanner System LSI Platform from Hitachi. Hitachi provides a system-on-a-chip platform that consists of the libraries, design tools, and design methodologies to reduce the time required to develop complicated and large-scale systems.

2 Platform for System LSI Development 46 The HEW integrated software development environment Software/hardware co-verification (system simulation) environment Fig. 2 System Development Environment. The HEW integrated software development environment includes tools from third parties and provides an efficient flow of system development processes. Co-design tool Software CASE tool Project management Version control tool C/C++ source editor Source navigator C/C++ compiler Optimizing linkage editor Simulator, profiler Stack analyzer Co-verification tool On-chip debugger (JTAG I/F emulator) HEW: Hitachi Embedded Workshop CASE: computer-aided software engineering JTAG: joint test action group Simulation model CPU core MMU Cache Bus function model I/F: interface MMU: memory management unit AUD: advanced user debugger IP On-chip user logic User logic board System evaluation (on-machine verification) environment On-chip emulator (E10A or E20) JTAG I/F AUD I/F Actual device Memory Debugging module IP module AUD program execution trace data (maximum 133 MHz, 6-pin I/F) CPU core Logic software co-verification environment and (3) an emulator (on-chip debugger) for evaluating systems. The LSI design environment (LDE) provides design environment for a large-scale and high-speed system-on-a-chip and is built around a one-pass design concept, which avoids feedback from front-end to back-end portions of the design process. It is necessary to re-use previous designs in order to more efficiently design large-scale systems. We provide the following IPs: CPU (central processing unit) cores (H-8 and SuperH series microcomputers), USB (universal serial bus), IEEE1394, and JPEG (joint photographic expert group), and A-D/D-A converter modules, and others. In the future, we will provide an even wider variety of IPs to fulfill the needs of further fields of application. The p76c silicon-technology platform is a brandnew CMOS (complementary metal-oxide semiconductor) technology and it has greatly improved transistor densities, levels of power consumption, and operating frequencies over those of previous Hitachi products. SYSTEM DEVELOPMENT ENVIRONMENT For designers involved in system development, both LSI hardware technology and the development environment are important factors that influence system development periods. Hitachi provides environments that are available to operate in the early stages of system design, and this allows the setting up of development environments that are useful to designers. Fig. 2 shows the system development environment and development tools. Software/Hardware Co-Design Environment A simulation model of each CPU core is written in the C language. This is necessary for system design and evaluation, and for co-verification of software and hardware in front-end processes, and enables the use of co-design and co-verification tools available from EDA (electronic design automation) vendors. After logic design for the LSI chip is complete, the user logic, in the form of an FPGA (field programmable gate array), and the in-circuit emulator provided for the standard core make it possible for users to construct a system evaluation environment before the LSI chip is completed. Since the CPU core has an on-chip debugging function, the E10A on-chip debugger, available through the JTAG (joint test action group) allows debugging of the system by real-time emulation. The high-performance E8000 emulator is also readily available, and allows real-time tracing of

3 Hitachi Review Vol. 50 (2001), No external buses running at up to 100 MHz. Integrated Software Development Environment The Hitachi Embedded Workshop, abbreviated as HEW, is a highly operable integrated environment for software development that covers the whole softwaredevelopment process, from software-project management to system evaluation. This environment combines point tools such as C/C++, an optimization linker, profiler, simulator, debugger, source navigator, and evaluation board, and is based on a consistent concept. LSI DESIGN ENVIRONMENT (LDE) Timing convergence, low power consumption, and design for testing are all critical issues in realizing large-scale and high-speed deep sub-micron LSI chip designs. One-pass design is applied to realize timing convergence in the LSI (see Fig. 3). Design guidelines that lead to high-quality design are provided. The RTL (register-transfer level) floorplan, makes logic synthesis on the basis of the floorplan. It is thus possible to estimate power consumption at the RTL. Tools for formal verification, STA (static timing analysis), and estimating power consumption in the logic design stage are all provided. For test design, a high degree of fault coverage is realized, and many methods of design-for-testability (DFT) are provided. The wiring delays can be estimated in the logic design stage by using the floorplan, and timing-driven layout makes it easy to obtain timing convergence. Without these methods, it is difficult to accurately estimate wiring delays before the layout stage, so the logic sometimes has to be modified after layout. The timingdriven layout makes the feedforward control of timing convergence possible and prevents such design feedback. The combination of this timing-driven layout and the RTL floorplan contributes to increase design productivity. For use with deep-submicron processes, Hitachi has developed tools for analyzing IR drops (voltage drops due to wire resistances) and verifying sufficiently low levels of crosstalk (electromagnetic noise induced in wires by the signals on other wires). Hitachi has implemented an educational training program, to help users to obtain a thorough understanding of the approaches and methods involved. IP AND SOFTWARE FOR REALIZING SYSTEM-ON-A-CHIP The key to success in developing a large-scale system-on-a-chip with several million gates is to reuse IPs that have already been developed, verified, and used on many previous designs. Hitachi has applied the following basic technologies to the design and development of a variety of reusable IPs: (1) a standardized IP design methodology, independent One-pass (time convergence) design LSI chip specifications Functional design Logic design Design-for-testability (DFT) Layout design System-on-a-chip RTL: register transfer level STA: static timing analysis BIST: built-in self-test Design flow Design limits check RTL floorplan Power consumption estimation Logic verification Static timing analysis Multiplexer base-scan test Memory BIST Timing consideration layout Power consumption analysis IR-drop analysis Crosstalk analysis Electro-migration analysis Development and setup of design methods Library and technology Library Technology Cell Module IP CPU core Design rule Antenna rule Crosstalk rule Design guidelines Volumes on: Functional design RTL design Design-for-testability Timing design Power design Layout design Training for designers 8-bit microcomputer Platform base Design concept (one-pass design and design-for-testability) Fig. 3 LSI Chip Design Environment. One-pass design flow, design guidelines and system-on-a-chip design training realize efficient LSI chip design environments.

4 Platform for System LSI Development 48 CPU cores: SH2DSP and H8S Peripherals: timer, serial interface, real-time clock Large-capacity fixed SRAM, Compiled RAM/ROM, Register file Microcomputer core Memory Analog modules Video ADC/DAC, Audio ADC/DAC, High-speed PLL Standard buses External and low-speed buses High-speed interface (under development) USB1.1 (host, hub and function), USB2 (under development), IEEE1394, CAN, Ethernet*, PCI, Bluetooth (under development), and PCMCIA Custom blocks Interface IPs Dedicated IPs Graphics, MPEG4 (under development), JPEG and MPEG2 (under development) Cell libraries High speed, low power consumption, and high density 1.5 V/1.8 V Various standard I/O cells SRAM: static random access memory ROM: read-only memory ADC: analog-to-digital converter DAC: digital-to-analog converter PLL: phase-locked loop CAN: controller area network PCI: peripheral component interconnection interface PCMCIA: Personal Computer Memory Card International Association MPEG4: moving picture expert group 4 I/O: input-output *: Ethernet is a trademark of Xerox Corp. of the U.S. Fig. 4 IPs and System-on-a-Chip. Various IPs help to improve efficiency in developing system-ona-chip. synthesis recognition CODEC Sound RTOS OS9, Linux* 1, QNX* 2, Nucleus* 3, etc VxWorks* 4 Windows CE* 5 µitron OS Image JPEG, JBIG Electronic watermarks MPEG4 Hand-written character recognition Graphics Digital signatures Middleware Communications Flash-memory filing system TCP/IP Java* 6 Browser Mobile communications RTOS: real-time OS µitron: µ industrial the real-time operating-system nucleus JBIG: joint bi-level image experts group TCP/IP: transmission control protocol/internet protocol *1: Linux is a registered trademark of Linus Torvalds in the U.S. and other countries. *2: QNX is a registered trademark of QNX Software Systems, Ltd. *3: Nucleus is a registered trademark of Accelerated Technology, Inc. *4: VxWorks is a registered trademark of Wind River Systems. *5: Windows is a registered trademark of Microsoft Corp. in the U.S.A. and other countries. *6: Java, and all trademarks and logos related to Java, are trademarks or registered trademarks of Sun Microsystems, Inc. in the U.S.A. and other countries. Fig. 5 Middleware and Preinstalled OSs. Hitachi provides standard real-time OSs and a variety of middleware for communications and speech, and processing of images, etc. of the semiconductor process technology; (2) IP socketization facilitating the combination of various IPs; and (3) an efficient IP management and distribution system. Some of the IPs to which Hitachi has applied these standard design and management/distribution methods are shown in Fig. 4. Hitachi has also promoted the development of a variety of software for controlling system-on-a-chip that consist of combinations of above IPs (see Fig. 5). Reusable IPs, preinstalled OSs and middleware enable the efficient development of system-on-a-chip for various applications. THE p76c SILICON TECHNOLOGY PLATFORM Fig. 6 gives an overview of Hitachi s silicon technology platforms, placing the p76c in context. One major feature of the p76c platform is that it allows designers to realize their various needs by combining various systems on a single chip, including the highperformance SuperH series microcomputers, analogdigital-hybrid circuits, and so on. Hitachi has already used 0.18-µm technologies to develop a new cell library, as the basis of our systemon-a-chip platforms. In view of the various fields of potential application, we have developed logic cell libraries for high-speed LSIs and for high-density and low-power LSIs, as well as the standard p76c logic cells that operate with power supplies of 1.5 V and 1.8 V. Hitachi also provides compiled memories that allow the flexible design of word-bit configurations to suit users applications and specifications, memory modules with large capacities of more than 1 Mbits, and a variety of analog modules for use as hardware cores. The CMOS-device process technology that we are using in the p76c platform has gate lengths of 0.14 µm, a metal pitch of 0.52 µm and up to 5 metal layers.

5 Hitachi Review Vol. 50 (2001), No Gate length (µm) High performance HG73C Year p76c Functionality IP High-density memory Analog CPU 2003 Operating frequency (MHz) High performance 3.3V HG73C p76c Technology Evolution of Silicon Technology Platforms 1.5V/ Feature: The silicon technology platform is the way of applying SuperH series microcomputers, analog-digital-hybrid circuits, and so on to realize a variety of system-on-a-chip. 0.9V/ 1.2V Power consumption (µw/gate) V Low power 1.5V/ HG73C p76c Technology 0.9V/ 1.2V Fig. 6 Silicon Technology Platform. State-of-the-art CMOS hardware technology has realized high-performance, low-power consumption system-on-a-chip. CONCLUSIONS We have introduced Hitachi s SOCplanner systemon-a-chip platform. The platform integrates a range of design technologies, design tools, and design methods that are invaluable to designers because they cover the range from system specification design to system evaluation. We intend to improve this platform in the future, in line with advance in semiconductor technology, to suit the needs of electronic product designers. ABOUT THE AUTHORS Tsuyoshi Shimizu Joined Hitachi, Ltd. in 1978, and now works at System Software Development & Engineering Department of System LSI Business Division, Semiconductor & Integrated Circuits. He is currently engaged in the development of basic software for system-on-a-chip platforms. Mr. Shimizu can be reached by at shimizu-tsuyoshi@sic.hitachi.co.jp. Yoshio Okamura Joined Hitachi, Ltd. in 1977, and now works at Design Technology Development Department of System LSI Business Division, Semiconductor & Integrated Circuits. He is currently engaged in the development of design technology for system-on-achip platforms. Mr. Okamura can be reached by at okamura-yoshio@sic.hitachi.co.jp. Yoshimune Hagiwara Joined Hitachi, Ltd. in 1972, and now works at IP Technology Center of System LSI Business Division, Semiconductor & Integrated Circuits. He is currently engaged in the development of IPs for system-on-achip platforms. Mr. Hagiwara can be reached by at hagiwara-yoshimune@sic.hitachi.co.jp. Akihisa Uchida Joined Hitachi, Ltd. in 1979, and now works at 2nd Device Development Department of System LSI Business Division, Semiconductor & Integrated Circuits. He is currently engaged in the development of p76c-based system-on-a-chip platforms. Mr. Uchida can be reached by at uchida-akihisa@sic.hitachi.co.jp.

System ASIC Technologies for Mounting Large Memory and Microcomputers

System ASIC Technologies for Mounting Large Memory and Microcomputers Hitachi Review Vol. 48 (1999), No. 2 81 System ASIC Technologies for Mounting Large Memory and Microcomputers Yasushi Yonedo Satoru Uchida Takayuki Suzuki OVERVIEW: Mobile information devices and all other

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

SH-Mobile LSIs for Cell Phones

SH-Mobile LSIs for Cell Phones Hitachi Review 1 SH-Mobile LSIs for Cell Phones Toshinobu Kanai Hiroshi Yagi Junichi Nishimoto Ikuya Kawasaki OVERVIEW: With the increasing number of functions performed by cell phones, processors are

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Field Programmable Microcomputers and Their Applications

Field Programmable Microcomputers and Their Applications Field Programmable Microcomputers and Their Applications Field Programmable Microcomputers Hitachi Review and Vol. Their 47 Applications (1998), No. 4 128 Hiroyuki Iwashita Terukazu Watanabe Kiyoshi Matsubara

More information

Offering compact implementation of sophisticated, high-performance telematics products and industrial equipment, and short development times

Offering compact implementation of sophisticated, high-performance telematics products and industrial equipment, and short development times Hitachi Releases SH7760 SuperH Microprocessor for In-Vehicle Information Products Supporting Telematics, Integrating SH-4 CPU Core and Variety of Interfaces in a Single Chip Offering compact implementation

More information

Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background Operation to Enable Low-cost Innovative Designs

Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background Operation to Enable Low-cost Innovative Designs PRESS CONTACT: Akiko Ishiyama Renesas Technology America, Inc. (408) 382-7407 akiko.ishiyama@renesas.com Renesas New Generation of R8C/Tiny Series MCUs Adds 1.8V Support and Coprocessing With Background

More information

LX4180. LMI: Local Memory Interface CI: Coprocessor Interface CEI: Custom Engine Interface LBC: Lexra Bus Controller

LX4180. LMI: Local Memory Interface CI: Coprocessor Interface CEI: Custom Engine Interface LBC: Lexra Bus Controller System-on-Chip 32-bit Embedded Processor LX4180 Product Brief R3000-class RISC Processor Core: Executes MIPS I instruction set*. Offers designers a familiar programming environment and choice of third

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

EECS 244 Computer-Aided Design of Integrated Circuits and Systems EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support. 1. Services 1.1 Consulting Services Services offered to a unique customer to deliver modified or completed electronic designs, including semiconductor or Semiconductor Intellectual Property (SIP) products,

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Automotive Semiconductor Technologies in the ITS Era

Automotive Semiconductor Technologies in the ITS Era Automotive Semiconductor Technologies in the ITS Era 328 Automotive Semiconductor Technologies in the ITS Era Yasuhiro Nakatsuka Shigeo Uno Terukazu Watanabe Mutsuhiro Mori OVERVIEW: A number of different

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto

High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto DRAFT - V7 High-Performance, Low-Power and Low-Cost SoC Design Techniques for Consumer Electronics Products By Shinya Fujimoto CHALLENGES IN THE EXPANDING DIGITAL WORLD The Consumer Electronics Association

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Motion Control Computing Architectures for Ultra Precision Machines

Motion Control Computing Architectures for Ultra Precision Machines Motion Control Computing Architectures for Ultra Precision Machines Mile Erlic Precision MicroDynamics, Inc., #3-512 Frances Avenue, Victoria, B.C., Canada, V8Z 1A1 INTRODUCTION Several computing architectures

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

The Fujitsu ASIC Platform:

The Fujitsu ASIC Platform: : Combining Engineering Expertise with Best-in-Class Tools and Process Technology to Deliver Cost-Efficient Custom Silicon TECHNOLOGY BACKGROUNDER Introduction Advanced ASIC (Application Specific Integrated

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

BASICS. Select The Optimum ASIC Approach

BASICS. Select The Optimum ASIC Approach BASICS of ASIC TRADEOFFS Dave Bursky, Digital ICs/DSP Editor Select The Optimum ASIC Approach Design hen pushing the performance of a custom network processor or widening the bandwidth of a next-generation

More information

System on Chip (SoC) Design

System on Chip (SoC) Design System on Chip (SoC) Design Moore s Law and Technology Scaling the performance of an IC, including the number components on it, doubles every 18-24 months with the same chip price... - Gordon Moore - 1960

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

F 2 MC-8FX Family. 8-bit Microcontroller. MB95200 Series

F 2 MC-8FX Family. 8-bit Microcontroller. MB95200 Series F 2 MC-8FX Family 8-bit Microcontroller The MB95200 series with a general-purpose low pin count package and built-in CR oscillator has been added to the product lineup of the 8-bit microcontroller 8FX

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Memory Technologies for the Multimedia Market

Memory Technologies for the Multimedia Market Memory Technologies for the Multimedia Market Hitachi Review Vol. 50 (), No. 2 33 Katsuyuki Sato, Ph.D. Yoshikazu Saito Hitoshi Miwa Yasuhiro Kasama OVERVIEW: Different mobile multimedia-oriented products

More information

Achieves excellent performance of 1,920 MIPS and a single-chip solution for nextgeneration car information systems

Achieves excellent performance of 1,920 MIPS and a single-chip solution for nextgeneration car information systems Renesas Technology to Release SH7776 (SH-Navi3), Industry s First Dual-Core SoC with Built-in Image Recognition Processing Function for Car Information Terminals Achieves excellent performance of 1,920

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008 Atmel Exploits Flexibility in Application Development for Customizable Microcontrollerbased Peter Bishop, Atmel Corporation 22Dec2008 Introduction Designing an embedded microcontrollerbased system poses

More information

Development and Commercialization of New Digital Protection and Control Equipment

Development and Commercialization of New Digital Protection and Control Equipment Development and Commercialization of New Digital Protection and Control Equipment 416 Development and Commercialization of New Digital Protection and Control Equipment Chikashi Komatsu Jun Noro Mitsuyasu

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

NS115 System Emulation Based on Cadence Palladium XP

NS115 System Emulation Based on Cadence Palladium XP NS115 System Emulation Based on Cadence Palladium XP wangpeng 新岸线 NUFRONT Agenda Background and Challenges Porting ASIC to Palladium XP Software Environment Co Verification and Power Analysis Summary Background

More information

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE

CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE 1 2 3 CONSIDERATIONS FOR THE DESIGN OF A REUSABLE SOC HARDWARE/SOFTWARE DEVELOPMENT BOARD Authors: Jonah Probell and Andy Young, design engineers, Lexra, Inc. 4 5 6 7 8 9 A Hardware/Software Development

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

RZ Embedded Microprocessors

RZ Embedded Microprocessors Next Generation HMI Solutions RZ Embedded Microprocessors www.renesas.eu 2013.11 The RZ Family Embedded Microprocessors The RZ is a new family of embedded microprocessors that retains the ease-of-use of

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

REAL TIME OPERATING SYSTEM PROGRAMMING-I: VxWorks

REAL TIME OPERATING SYSTEM PROGRAMMING-I: VxWorks REAL TIME OPERATING SYSTEM PROGRAMMING-I: I: µc/os-ii and VxWorks Lesson-1: RTOSes 1 1. Kernel of an RTOS 2 Kernel of an RTOS Used for real-time programming features to meet hard and soft real time constraints,

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

High-Performance 32-bit

High-Performance 32-bit High-Performance 32-bit Microcontroller with Built-in 11-Channel Serial Interface and Two High-Speed A/D Converter Units A 32-bit microcontroller optimal for digital home appliances that integrates various

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Solutions. JavaTM for Embedded Appliances

Solutions. JavaTM for Embedded Appliances Solutions JavaTM for Embedded Appliances JTRON on picojava II TM Electronic Devices Group FUJITSU LIMITED Haruyasu Ito 1 Java TM - Infrastructure for a Connected World BS DTV Broadcasters SOHO Mobile Broadcasters

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Keysight Technologies Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of PCBA Article Reprint This paper was first published in the 2017 IPC APEX Technical Conference, CA,

More information

MT2 Introduction Embedded Systems. MT2.1 Mechatronic systems

MT2 Introduction Embedded Systems. MT2.1 Mechatronic systems MT2 Introduction Embedded Systems MT2.1 Mechatronic systems Mechatronics is the synergistic integration of mechanical engineering, with electronics and intelligent computer control in the design and manufacturing

More information