Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd

Size: px
Start display at page:

Download "Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd"

Transcription

1 Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd 1

2 Topics The Mobile Computing Platform The Application Processor CMOS Power Model Multicore Software: Complexity & Scaling Conclusion 2

3 The Mobile Computing Platform 3

4 Software Is Eating The World A smartphone is a computer which can make phone calls take notes tell the time take photos Physical devices have become icons 4

5 What makes a smartphone? A computer with a touchscreen (and some other special i/o) Sophisticated software Unix, GUI, TCP/IP, Browser, SatNav, Speech Recognition, 5

6 Samsung Galaxy S5 A ~30 core heterogeneous multiprocessor in your shirt pocket Ian Philips - ARM 6

7 Samsung Galaxy S5 Functionally decomposed into many chips Different semiconductor manufacturing processes Different suppliers Several contain processor cores Where does the software - operating system and applications - run? 7

8 The Application Processor 8

9 Exynos 5 - Octa-Core Applications Processor 9

10 Exynos 5 - Octa-Core Applications Processor Exynos 5422 Application Processor 4 ARM A ARM A7 9 core GPU 10

11 The Challenge The application processor must achieve high computational performance and responsiveness under strong constraints on Cost Energy Power 11

12 Power and Energy Simple relationship: e = p(t) dt Battery Capacity 55,000 mwh Energy - battery life Power - thermal issues burning and discomfort degradation of circuitry 1,400 mwh 1,810 mwh 2,915 mwh 2007 MacBook 2007 iphone 2014 iphone iphone 6+ 12

13 Engineering Relationships Computational capability microarchitecture, frequency and software Frequency (maximum) microarchitecture, process, implementation and voltage Power process, voltage, frequency, microarchitecture, implementation and software 13

14 Simple CMOS power model p = pswitching + pleakage pswitching CV 2 f eswitching CV 2 f t = CV 2 n where n = f t cycles It appears that eswitching depends on cycle count not frequency But (maximum) f and V are dependent - f V 14

15 Voltage-Frequency Scaling Consider two combinations, V1,f1 and V2,f2 with V1<V2 and f1<f2 Compare switching energy over n cycles e1/e2 = CV1 2 n / CV2 2 n = (V1/V2) 2 < 1 because V1/V2 < 1 Comparing switching power p1/p2 = CV1 2 f1 / CV2 2 f2 =(V1/V2) 2 (f1/f2) = e1/e2 (f1/f2) 1 Running slower lowers power and saves energy 15

16 Frequency, energy, power v. Voltage 3.0 Energy Relative to 1V Power Relative to 1V Frequency (GHz) Voltage Source: ST Shanghai SOI Summit Oct

17 But you ve forgotten leakage At realistic operating voltages and smartphone temperatures dynamic power/energy dominates From Intel for Pentium-like x86 0.5V 100 MHz 17 mw 0.8V 500 MHz 174 mw 1.2V 915 MHz 737 mw A 280mV-to-1.2V Wide-Operating-Range IA-32 Processor in 32nm CMOS: Intel, ISSC

18 What s all this to do with multicore? 18

19 1: Pushing against performance limits If you are up against the performance limits of a uniprocessor your options are limited. Multicore sounds like a winner - if software scales 19

20 2: Power efficiency Assume workload scales Options: one processor at f or two processors at f/2 Power ratio: CV1 2 f : 2 x CV2 2 (f/ 2) = (V1/V2) 2 ST results show 25% power at 50% performance Two processors halve power and energy Source: ST Shanghai SOI Summit Oct

21 Limits to voltage-frequency scaling There are limits to how far voltage can be reduced If 4 processors at f/4 run at the same voltage as 2 processors at f/2 No benefit - even if software scales 21

22 3: Big-Little Microarchitectures and implementations have different powerperformance characteristics Typically slower (compute) processors have lower power Idea: use ISA compatible processors with differing characteristics fast/high-power for heavy workloads slow/low-power for light workloads 22

23 A15-A7 Illustration EE Times - A15 is 5x area and power of A7 with 2-3x the performance Constant voltage power ratio f/3.0 : A7 = 5CV 2 (f/ 3.0) : CV 2 f = 1.7 Voltage-frequency scaling power ratio f/2.0:a7 = k5cv15 2 f/ 2 : kcv7 2 f = 2.5 x (V15/V7) 2 = 2.5 x 0.5 = 1.25 f/2.3:a7 = k5cv15 2 f/ 2.3 : kcv7 2 f = 2.18 x (V15/V7) 2 = 2.18 x 0.36 = 0.78 Results depend critically on scaling of f with V and limits of V scaling 23

24 Software: Complexity & Scaling 24

25 Power Management Software The operating system has to has to manage Voltage-frequency scaling Choice of core where power/performance characteristics differ and all the other operating system stuff. 25

26 Software doesn t scale: Herb Sutter s the free lunch is over - call to arms Geoffrey Blake et al - Evolution of thread level parallelism in desktop applications dual-processors improved responsiveness most software (games, office applications, multimedia playback) can use only two processors effectively; very few applications (e.g. video authoring) can use more Comment: GPUs may eat the low-hanging parallel fruit * Evolution of thread level parallelism in desktop applications ISCA-10,

27 Software doesn t scale: 2 Multicore Web Browsing - ST-Ericsson Page load time for two popular Android browsers Single to Dual ~1.3x faster Dual to Quad ~1.1x faster This is without taking into account a probable frequency drop when moving from dual-core to quad-core 27

28 Multicore: the best use of silicon? NVidia state the move from ARM Cortex A9 r3 to r4 gains 25% on web-browsing This is cheaper than doubling the number of cores 28

29 Conclusion 29

30 Multicore The mobile phone is a heterogeneous multicore - for good reasons A dual-core application processor seems to be a good choice Performance, Power, Responsiveness Software scalability limits exploitation beyond two cores not to mention the complexity of managing lots of processors If the software complexity issues of big.little can be overcome. 30

31 Thank you 31

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot Heterogeneous Multi-Processing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on

More information

Cut Power Consumption by 5x Without Losing Performance

Cut Power Consumption by 5x Without Losing Performance Cut Power Consumption by 5x Without Losing Performance A big.little Software Strategy Klaas van Gend FAE, Trainer & Consultant The mandatory Klaas-in-a-Plane picture 2 October 10, 2014 LINUXCON EUROPE

More information

The Mont-Blanc approach towards Exascale

The Mont-Blanc approach towards Exascale http://www.montblanc-project.eu The Mont-Blanc approach towards Exascale Alex Ramirez Barcelona Supercomputing Center Disclaimer: Not only I speak for myself... All references to unavailable products are

More information

8/28/12. CSE 820 Graduate Computer Architecture. Richard Enbody. Dr. Enbody. 1 st Day 2

8/28/12. CSE 820 Graduate Computer Architecture. Richard Enbody. Dr. Enbody. 1 st Day 2 CSE 820 Graduate Computer Architecture Richard Enbody Dr. Enbody 1 st Day 2 1 Why Computer Architecture? Improve coding. Knowledge to make architectural choices. Ability to understand articles about architecture.

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Expanding Opportunities in Clamshell Devices. Laurence Bryant VP Strategic Marketing

Expanding Opportunities in Clamshell Devices. Laurence Bryant VP Strategic Marketing Expanding Opportunities in Clamshell Devices Laurence Bryant VP Strategic Marketing 1 PC Mobile Ecosystem Scaling The Richness Of Small Screen Experiences The smartphone and tablet ecosystem is shaping

More information

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver SMARTPHONE HARDWARE: ANATOMY OF A HANDSET Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver Outline of topics What is the hardware architecture of a How does communication

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

European energy efficient supercomputer project

European energy efficient supercomputer project http://www.montblanc-project.eu European energy efficient supercomputer project Simon McIntosh-Smith University of Bristol (Based on slides from Alex Ramirez, BSC) Disclaimer: Speaking for myself... All

More information

Microprocessor Trends and Implications for the Future

Microprocessor Trends and Implications for the Future Microprocessor Trends and Implications for the Future John Mellor-Crummey Department of Computer Science Rice University johnmc@rice.edu COMP 522 Lecture 4 1 September 2016 Context Last two classes: from

More information

Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC?

Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC? Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC? Nikola Rajovic, Paul M. Carpenter, Isaac Gelado, Nikola Puzovic, Alex Ramirez, Mateo Valero SC 13, November 19 th 2013, Denver, CO, USA

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Building supercomputers from embedded technologies

Building supercomputers from embedded technologies http://www.montblanc-project.eu Building supercomputers from embedded technologies Alex Ramirez Barcelona Supercomputing Center Technical Coordinator This project and the research leading to these results

More information

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases Steve Steele, ARM 1 Today s Computational Challenges Trends Growing display sizes and resolutions, richer

More information

Heterogeneous Architecture. Luca Benini

Heterogeneous Architecture. Luca Benini Heterogeneous Architecture Luca Benini lbenini@iis.ee.ethz.ch Intel s Broadwell 03.05.2016 2 Qualcomm s Snapdragon 810 03.05.2016 3 AMD Bristol Ridge Departement Informationstechnologie und Elektrotechnik

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Fundamentals of Computers Design

Fundamentals of Computers Design Computer Architecture J. Daniel Garcia Computer Architecture Group. Universidad Carlos III de Madrid Last update: September 8, 2014 Computer Architecture ARCOS Group. 1/45 Introduction 1 Introduction 2

More information

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1 R&D TECHNOLOGY DRIVING INNOVATION Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1 R&D & CORE COMPONENTS _ SAMSUNG is the world s largest memory chip and display maker, as well as the second largest

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

Fundamentals of Computer Design

Fundamentals of Computer Design Fundamentals of Computer Design Computer Architecture J. Daniel García Sánchez (coordinator) David Expósito Singh Francisco Javier García Blas ARCOS Group Computer Science and Engineering Department University

More information

Lecture 1: Why Parallelism? Parallel Computer Architecture and Programming CMU , Spring 2013

Lecture 1: Why Parallelism? Parallel Computer Architecture and Programming CMU , Spring 2013 Lecture 1: Why Parallelism? Parallel Computer Architecture and Programming Hi! Hongyi Alex Kayvon Manish Parag One common definition A parallel computer is a collection of processing elements that cooperate

More information

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot 2.0 Heterogeneous Computing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on a chip

More information

DEMYSTIFYING INTEL IVY BRIDGE MICROARCHITECTURE

DEMYSTIFYING INTEL IVY BRIDGE MICROARCHITECTURE DEMYSTIFYING INTEL IVY BRIDGE MICROARCHITECTURE Roger Luis Uy College of Computer Studies, De La Salle University Abstract: Tick-Tock is a model introduced by Intel Corporation in 2006 to show the improvement

More information

2010 PROFIT. Research & Education. Tim Cheng ( 鄭光廷 )

2010 PROFIT. Research & Education. Tim Cheng ( 鄭光廷 ) Mobile Embedded Systems: Research & Education Tim Cheng ( 鄭光廷 ) Univ. of California, Santa Barbara 1 Outline A New Course on Smartphones at UCSB Recent Research on Embedded Computer Vision i at UCSB 2

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Standalone application processors are wining in mobility markets, says Petrov Group

Standalone application processors are wining in mobility markets, says Petrov Group Standalone application processors are wining in mobility markets, says Petrov Group Contributed by the Petrov Group -- 8 March 2011 http://www.digitimes.com/news/a20110308vl204.html Several years ago there

More information

Position Paper: OpenMP scheduling on ARM big.little architecture

Position Paper: OpenMP scheduling on ARM big.little architecture Position Paper: OpenMP scheduling on ARM big.little architecture Anastasiia Butko, Louisa Bessad, David Novo, Florent Bruguier, Abdoulaye Gamatié, Gilles Sassatelli, Lionel Torres, and Michel Robert LIRMM

More information

Intel Core i7 Processor

Intel Core i7 Processor Intel Core i7 Processor Vishwas Raja 1, Mr. Danish Ather 2 BSc (Hons.) C.S., CCSIT, TMU, Moradabad 1 Assistant Professor, CCSIT, TMU, Moradabad 2 1 vishwasraja007@gmail.com 2 danishather@gmail.com Abstract--The

More information

The Benefits of GPU Compute on ARM Mali GPUs

The Benefits of GPU Compute on ARM Mali GPUs The Benefits of GPU Compute on ARM Mali GPUs Tim Hartley 1 SEMICON Europa 2014 ARM Introduction World leading semiconductor IP Founded in 1990 1060 processor licenses sold to more than 350 companies >

More information

UnCovert: Evaluating thermal covert channels on Android systems. Pascal Wild

UnCovert: Evaluating thermal covert channels on Android systems. Pascal Wild UnCovert: Evaluating thermal covert channels on Android systems Pascal Wild August 5, 2016 Contents Introduction v 1: Framework 1 1.1 Source...................................... 1 1.2 Sink.......................................

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 20 Ch.10 Intel Core Duo Processor Architecture 2-Jun-15 1 Chapter Objectives Understand the concept of dual core technology. Look inside

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

A Study on C-group controlled big.little Architecture

A Study on C-group controlled big.little Architecture A Study on C-group controlled big.little Architecture Renesas Electronics Corporation New Solutions Platform Business Division Renesas Solutions Corporation Advanced Software Platform Development Department

More information

2009 International Solid-State Circuits Conference Intel Paper Highlights

2009 International Solid-State Circuits Conference Intel Paper Highlights 2009 International Solid-State Circuits Conference Intel Paper Highlights Mark Bohr Intel Senior Fellow Soumyanath Krishnamurthy Intel Fellow 1 2009 ISSCC Intel Paper Summary Under embargo until February,

More information

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2)

Integrated mobile processors to challenge standalone application processors, says Petrov Group (part 2) Integrated mobile to challenge standalone application, says Petrov Group (part 2) Contributed by the Petrov Group -- Wednesday 16 March 2011] http://www.digitimes.com/print/a20110315vl203.html Recently

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Boris Grot and Dr. Vijay Nagarajan!! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors:!

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Multithreading: Exploiting Thread-Level Parallelism within a Processor

Multithreading: Exploiting Thread-Level Parallelism within a Processor Multithreading: Exploiting Thread-Level Parallelism within a Processor Instruction-Level Parallelism (ILP): What we ve seen so far Wrap-up on multiple issue machines Beyond ILP Multithreading Advanced

More information

CSC 170 Introduction to Computers and Their Applications. Computers

CSC 170 Introduction to Computers and Their Applications. Computers CSC 170 Introduction to Computers and Their Applications Lecture #4 Digital Devices Computers At its core, a computer is a multipurpose device that accepts input, processes data, stores data, and produces

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Boris Grot and Dr. Vijay Nagarajan!! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Lecture 13 IoT and Augmented Reality

Lecture 13 IoT and Augmented Reality Introduction to Engineering Using Robotics Experiments Lecture 13 IoT and Augmented Reality Yinong Chen Arizona State University, U.S.A. http://www.public.asu.edu/~ychen10/ Cloud Computing and IoIT as

More information

Power Management as I knew it. Jim Kardach

Power Management as I knew it. Jim Kardach Power Management as I knew it Jim Kardach 1 Agenda Philosophy of power management PM Timeline Era of OS Specific PM (OSSPM) Era of OS independent PM (OSIPM) Era of OS Assisted PM (APM) Era of OS & hardware

More information

Multicore Hardware and Parallelism

Multicore Hardware and Parallelism Multicore Hardware and Parallelism Minsoo Ryu Department of Computer Science and Engineering 2 1 Advent of Multicore Hardware 2 Multicore Processors 3 Amdahl s Law 4 Parallelism in Hardware 5 Q & A 2 3

More information

Artificial Intelligence Enriched User Experience with ARM Technologies

Artificial Intelligence Enriched User Experience with ARM Technologies Artificial Intelligence Enriched User Experience with ARM Technologies Daniel Heo Senior Segment Manager Mobile, BSG, ARM ARM Tech Forum Singapore July 12 th 2017 Global AI survey: the world is ready 71

More information

Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor.

Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor. CS 320 Ch. 18 Multicore Computers Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor. Definitions: Hyper-threading Intel's proprietary simultaneous

More information

What You Need to Know When Buying a New Computer JackaboutComputers.com

What You Need to Know When Buying a New Computer JackaboutComputers.com If it s been a while since you bought your last computer, you could probably use a quick refresher on what you need to know to make a good purchase. Computers today are a much larger part of our life than

More information

Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms

Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms Arizona State University Dhinakaran Pandiyan(dpandiya@asu.edu) and Carole-Jean Wu(carole-jean.wu@asu.edu

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

REVISED SYLLABUS UNIT 5

REVISED SYLLABUS UNIT 5 REVISED SYLLABUS UNIT 5 COMMUNICATION TECHNOLOGIES (Networking and Open Source Software) Evolution of Networking: ARPANET, Internet, Interspace Different ways of sending data across the network with reference

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

CS 61C: Great Ideas in Computer Architecture Case Studies: Server and Cellphone microprocessors. Not yet in producvon, the next core awer Ivy Bridge!

CS 61C: Great Ideas in Computer Architecture Case Studies: Server and Cellphone microprocessors. Not yet in producvon, the next core awer Ivy Bridge! CS 61C: Great Ideas in Computer Architecture Case Studies: Server and Cellphone microprocessors Instructors: Krste Asanovic, Randy H. Katz hcp://inst.eecs.berkeley.edu/~cs61c/fa12 Today: Intel Haswell

More information

Kontron s ARM-based COM solutions and software services

Kontron s ARM-based COM solutions and software services Kontron s ARM-based COM solutions and software services Peter Müller Product Line Manager COMs Kontron Munich, 4 th July 2012 Kontron s ARM Strategy Why ARM COMs? How? new markets for mobile applications

More information

Many-core back to the future. Matt Horsnell ARM Research and Development

Many-core back to the future. Matt Horsnell ARM Research and Development Many-core back to the future Matt Horsnell ARM Research and Development 1 Outline Introduction How we got to multi-core Focus on multi-core Evolution to many-core? Predicting the future microprocessor?

More information

Samsung Galaxy S 4g Full Specifications And

Samsung Galaxy S 4g Full Specifications And Samsung Galaxy S 4g Full Specifications And Price In India 2014 With Features Features 3G, 4.3 Super AMOLED capacitive touchscreen, 16 MP camera, Check Price Also known as Samsung Galaxy S4 zoom SM-C1010,

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

Qualcomm Snapdragon 450 Mobile Platform

Qualcomm Snapdragon 450 Mobile Platform Qualcomm Snapdragon 450 Mobile Platform Kedar Kondap Vice President, Product Management Qualcomm Technologies, Inc. Snapdragon 400 Tier @qualcomm Qualcomm Snapdragon is a product of Qualcomm Technologies,

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Building supercomputers from commodity embedded chips

Building supercomputers from commodity embedded chips http://www.montblanc-project.eu Building supercomputers from commodity embedded chips Alex Ramirez Barcelona Supercomputing Center Technical Coordinator This project and the research leading to these results

More information

Parallelism in Hardware

Parallelism in Hardware Parallelism in Hardware Minsoo Ryu Department of Computer Science and Engineering 2 1 Advent of Multicore Hardware 2 Multicore Processors 3 Amdahl s Law 4 Parallelism in Hardware 5 Q & A 2 3 Moore s Law

More information

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor PD Marketing ARM 1 Introduction to Cortex-A15 & Seahawk ARM Cortex-A15 is a high performance engine for superphones,

More information

Intelligent Power Allocation for Consumer & Embedded Thermal Control

Intelligent Power Allocation for Consumer & Embedded Thermal Control Intelligent Power Allocation for Consumer & Embedded Thermal Control Ian Rickards ARM Ltd, Cambridge UK ELC San Diego 5-April-2016 Existing Linux Thermal Framework Trip1 Trip0 Thermal trip mechanism using

More information

Disclaimer: This e-book doesn t tend to express hatred against any smartphone company or operating system. We believe that every company holds a

Disclaimer: This e-book doesn t tend to express hatred against any smartphone company or operating system. We believe that every company holds a Disclaimer: This e-book doesn t tend to express hatred against any smartphone company or operating system. We believe that every company holds a crucial position in the market. The content in the post

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 7

ECE 571 Advanced Microprocessor-Based Design Lecture 7 ECE 571 Advanced Microprocessor-Based Design Lecture 7 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 9 February 2017 Announcements HW#4 will be posted, some readings 1 Measuring

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2 FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 1 & 2 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Verilog HDL by Samir Palnitkar.

More information

Lecture 1: Gentle Introduction to GPUs

Lecture 1: Gentle Introduction to GPUs CSCI-GA.3033-004 Graphics Processing Units (GPUs): Architecture and Programming Lecture 1: Gentle Introduction to GPUs Mohamed Zahran (aka Z) mzahran@cs.nyu.edu http://www.mzahran.com Who Am I? Mohamed

More information

Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016

Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016 Smartwatches (April 12, 2017) Samsung Gear Live, 2014 Samsung S 3G, 2014 Samsung S3 LTE, November 2016 1 Samsung Gear Live 2 Samsung Gear Live 1.63 Super AMOLED display with a resolution of 320 x 320 pixels

More information

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features TINY System Ultra-Low Power Sensor Hub for Always-on Context Features MediaTek White Paper June 2015 MediaTek s sensor hub solution, powered by the TINY Stem low power architecture, supports always-on

More information

Utilization-based Power Modeling of Modern Mobile Application Processor

Utilization-based Power Modeling of Modern Mobile Application Processor Utilization-based Power Modeling of Modern Mobile Application Processor Abstract Power modeling of a modern mobile application processor (AP) is challenging because of its complex architectural characteristics.

More information

IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM

IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM I5 AND I7 PROCESSORS Juan M. Cebrián 1 Lasse Natvig 1 Jan Christian Meyer 2 1 Depart. of Computer and Information

More information

Contour Detection on Mobile Platforms

Contour Detection on Mobile Platforms Contour Detection on Mobile Platforms Bor-Yiing Su, subrian@eecs.berkeley.edu Prof. Kurt Keutzer, keutzer@eecs.berkeley.edu Parallel Computing Lab, University of California, Berkeley 1/26 Diagnosing Power/Performance

More information

2010: TRANSITION & TRANSFORMATION

2010: TRANSITION & TRANSFORMATION TOWARDS TRANSFORMATION Gilles Delfassy, President & CEO 2009: FORMATION 2010: TRANSITION & TRANSFORMATION 2 FIRST QUARTER SUMMARY Net sales $606 million Net sales Adjusted operating loss $114 million Net

More information

The Future Evolution of High-Performance Microprocessors

The Future Evolution of High-Performance Microprocessors The Future Evolution of High-Performance Microprocessors Norm Jouppi HP Labs 2005 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice Keynote

More information

Architectural Musings

Architectural Musings Architectural Musings Rethinking Computer Systems Architecture & Evaluation Christopher Vick cvick@qti.qualcomm.com March 23, 2014 1 Introduction Vision Talk How should we analyze, reason about and evaluate

More information

Arm s Latest CPU for Laptop-Class Performance

Arm s Latest CPU for Laptop-Class Performance Arm s Latest CPU for Laptop-Class Performance 2018 Arm Limited Aditya Bedi Arm Tech Symposia India Untethered. Connected. Immersive. Innovation continues to drive growth and performance demands on our

More information

Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology

Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology Tsung-Yao Lin, g-hsien Lee, Loda Chou, Clavin Peng, Jih-g Hsu, Jia-g Chen, John-CC Chen, Alex Chiou, Artis Chiu, David Lee, Carrie

More information

Energy Efficiency Analysis of Heterogeneous Platforms: Early Experiences

Energy Efficiency Analysis of Heterogeneous Platforms: Early Experiences Energy Efficiency Analysis of Heterogeneous Platforms: Early Experiences Youhuizi Li, Weisong Shi, Congfeng Jiang, Jilin Zhang and Jian Wan Key Laboratory of Complex Systems Modeling and Simulation, Hangzhou

More information

MICROPROCESSOR ARCHITECTURE

MICROPROCESSOR ARCHITECTURE MICROPROCESSOR ARCHITECTURE UOP S.E.COMP (SEM-I) MULTICORE DESIGN Prof.P.C.Patil Department of Computer Engg Matoshri College of Engg.Nasik pcpatil18@gmail.com. History 2 History The most important part

More information

A+ Guide to Hardware, 4e. Chapter 4 Processors and Chipsets

A+ Guide to Hardware, 4e. Chapter 4 Processors and Chipsets A+ Guide to Hardware, 4e Chapter 4 Processors and Chipsets Objectives Learn about the many different processors used for personal computers and notebook computers Learn about chipsets and how they work

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Computer Architecture. Fall Dongkun Shin, SKKU

Computer Architecture. Fall Dongkun Shin, SKKU Computer Architecture Fall 2018 1 Syllabus Instructors: Dongkun Shin Office : Room 85470 E-mail : dongkun@skku.edu Office Hours: Wed. 15:00-17:30 or by appointment Lecture notes nyx.skku.ac.kr Courses

More information

Fra superdatamaskiner til grafikkprosessorer og

Fra superdatamaskiner til grafikkprosessorer og Fra superdatamaskiner til grafikkprosessorer og Brødtekst maskinlæring Prof. Anne C. Elster IDI HPC/Lab Parallel Computing: Personal perspective 1980 s: Concurrent and Parallel Pascal 1986: Intel ipsc

More information

Apple Ipod Video Instructions 8gb 4th Generation Facetime And Retina Display

Apple Ipod Video Instructions 8gb 4th Generation Facetime And Retina Display Apple Ipod Video Instructions 8gb 4th Generation Facetime And Retina Display The second fourth generation ipod (yep, we're confused too) Apple The nano got some love in 2006 with increased 8GB capacity,

More information

Slide Set 8. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng

Slide Set 8. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng Slide Set 8 for ENCM 369 Winter 2018 Section 01 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary March 2018 ENCM 369 Winter 2018 Section 01

More information

An Adaptive Control Scheme for Multi-threaded Graphics Programs

An Adaptive Control Scheme for Multi-threaded Graphics Programs Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 498 An Adaptive Control Scheme for Multi-threaded Graphics Programs

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Parallelism and Concurrency. COS 326 David Walker Princeton University

Parallelism and Concurrency. COS 326 David Walker Princeton University Parallelism and Concurrency COS 326 David Walker Princeton University Parallelism What is it? Today's technology trends. How can we take advantage of it? Why is it so much harder to program? Some preliminary

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Leveraging the Benefits of Symmetric Multiprocessing (SMP) in Mobile Devices

Leveraging the Benefits of Symmetric Multiprocessing (SMP) in Mobile Devices WHITE PAPER Brian Carlson OMAP Platform Marketing Manager Introduction Mobile devices, such as Smartphones and Mobile Internet Devices (MIDs), are beginning to deliver advanced functions, such as PC-like

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Agenda. What is Ryzen? History. Features. Zen Architecture. SenseMI Technology. Master Software. Benchmarks

Agenda. What is Ryzen? History. Features. Zen Architecture. SenseMI Technology. Master Software. Benchmarks Ryzen Agenda What is Ryzen? History Features Zen Architecture SenseMI Technology Master Software Benchmarks The Ryzen Chip What is Ryzen? CPU chip family released by AMD in 2017, which uses their latest

More information

Part 1 of 3 -Understand the hardware components of computer systems

Part 1 of 3 -Understand the hardware components of computer systems Part 1 of 3 -Understand the hardware components of computer systems The main circuit board, the motherboard provides the base to which a number of other hardware devices are connected. Devices that connect

More information

The Mont-Blanc Project

The Mont-Blanc Project http://www.montblanc-project.eu The Mont-Blanc Project Daniele Tafani Leibniz Supercomputing Centre 1 Ter@tec Forum 26 th June 2013 This project and the research leading to these results has received funding

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 24

ECE 571 Advanced Microprocessor-Based Design Lecture 24 ECE 571 Advanced Microprocessor-Based Design Lecture 24 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 25 April 2013 Project/HW Reminder Project Presentations. 15-20 minutes.

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 15

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 15 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 15 LAST TIME: CACHE ORGANIZATION Caches have several important parameters B = 2 b bytes to store the block in each cache line S = 2 s cache sets

More information

Techniques and tools for measuring energy efficiency of scientific software applications

Techniques and tools for measuring energy efficiency of scientific software applications Techniques and tools for measuring energy efficiency of scientific software applications 16th international workshop on Advanced Computing and Analysis Techniques in Physics Research Giulio Eulisse Fermi

More information