Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products

Size: px
Start display at page:

Download "Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products"

Transcription

1 Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products Tom Swirbel Motorola, Inc W. Sunrise Blvd. Plantation, Florida Phone: Fax: Abstract The challenge in developing portable wireless products is to continually reduce product volume, increase functionality, and decrease system cost. Historically, the industry has met the challenge through increased silicon integration and component miniaturization. However, with the introduction of multiband phones and the convergence of palm top computers and internet appliances with wireless devices, the technical approaches typically used to meet these challenges need to be addressed not only at the component level but at the system level. Being able to design these devices, with a small, light weight form factor, requires using highly integrated small outline components and a mother board substrate capable of effectively providing the required electrical interconnection. The choices made in component packaging and chip configuration, especially with respect to high I/O count integrated circuits such as digital signal processors, power management, memory, and microprocessors can significantly impact the technology required for the mother board and subsequently the cost. Component integration at the module level for circuit blocks, with a high degree of interconnectivity such as memory integrated circuits with baseband processor integrated circuits, has been shown to reduce the complexity of the motherboard required when compared to interconnection of single chip packages. In this study, a comparison of single chip packages in the baseband section of a cellular phone with a three-chip multichip MCM-L package and a two chip stacked die module identified the impact multichip packages have on motherboard complexity and size. In the case of the MCM-L approach, the motherboard construction went from a six layer microvia board to a six layer thru-hole board with a % area reduction in the baseband section and a % total area reduction. In the stacked chip design, a microvia motherboard was still required, but a baseband area reduction of 0% and a total motherboard size reduction of 5% was realized. Key words:. Background Chip Scale Packaging, Wireless Products, and Multichip Module Laminates. 0 Today, the portable wireless product marketplace is demanding products that are small, thin, low cost, and light weight with more functionality and better user interfaces. In addition, the convergence of portable wireless handsets with palm top computers and internet appliances is accelerating the need to be able to provide functional circuit elements which use the smallest and lowest cost technology possible. Advancements in IC integration, IC packaging, passive components, and PCB substrate technology are enabling the realization of the requirements needed for such convergent products. IC integration in devices

2 Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products such as the baseband processor is resulting in integrated circuits with I/O counts exceeding 96. IC packaging is enabling migration toward small outline packages using.75mm and.5mm u-bga technology. Implementing the evolutionary changes in component integration and packaging technologies, the industry has been able to keep pace with consumer needs. The component and packaging technologies that have enabled smaller, lighter products, has had a significant impact on the motherboard technology required for interconnection. As a result of smaller package sizes being combined with high I/O integrated circuits, the wiring capacity of the motherboard needed to be increased to effectively handle the increased routing density demand. In order to provide connectivity microvia high density interconnect, technology has been developed in the PCB industry,. Quantitatively, the impact at the mother board level is best shown by the trends in motherboard size and interconnect density. A graph of board size and component pad density over time is shown in Figure. The data provide a good snap shot of the overall industry trend with respect to board area and pad density for mobile phones. The data for current products (shown with an x ) is from combination of cellular products made by various manufacturers. It is important that while the pad density continues to increase, the board area has split into two distinct groups which may remain relatively constant. This is due to the realization of the products which these numbers represent can result from different physical designs and thus different component and substrates to enable them. For example, there is a two board approach that has a separate transceiver and key pad board, a single board approach which combines the transceiver and keypad on one board, and also an approach which uses one board with one- sided assembly. The approach chosen for a particular product enables a certain physical design but may require different component and mother board choices. This paper will discuss the choices available in IC packaging technology including single chip, stacked chip, and multichip BGAs, and CSPs, and the impact these technologies has on motherboard substrate requirements.. BGA Footprint Routing A major challenge in moving to progressively finer pitch I/O pads on a BGA package is the ability to route all the traces from the I/O pads on the mother board. As I/O count increases the ability to route traces on a fine pitch, package becomes even more difficult. The Clinton Chao model for square array packages is an effective tool for determining the effect on the motherboard I/ O number and pitch can have. The model provides a reasonable estimation of the design rules needed if conventional or microvia Printed Circuit Boards are used 4. In this section, the focus will be on devices in the 96 to 56 I/O range. The various design rules and board construction options that are needed to effectively route out a 56 I/O device at.8mmand.65mm are shown in Table. The actual routes for a 56 I/O device at.8mm and.65mm are shown in Figure. Table. Design rules needed to route a 56 I/O BGA at.8mm and.65mm pitch. Microvia + thru-hole Microvia (fine line) Microvia only #signal #signal layers layers - conv - microvia conv - microvia PCB type mmBGA -line / space 4.5 (mils).5 (mils) 4.5 (mils) -uvia / pad 5 / 0 5 / 0 5 / 0 -thru-via / pad 0 / 0 n / a n / a.65mmbga - line / space - uvia / pad - thru-via / pad. (mils) 5 / 0 8 / 7.8 (mils) 5 / 0 n / a. (mils) 5 / 0 n / a Pad density (pads/in ) x x x Year 9 6 Board area (in ) 0 00 top top metal innerlayer u-via thru-via Figure. Actual routing screen captures for a.8mm and Figure. Mobile phone board area and pad density data..65mm 56I/O BGA. Historical trends are from Prismarck Data, current data supplied by author. Both devices had the same connectivity in a logic circuit which used a -4- microvia motherboard. The motherboard had one

3 dedicated ground plane but not a dedicated power plane. The design rules were: 4 mil line / 5 mil space for all layers ( wire / track); 5 mil u-via / 0 mil pad; 0 mil thru via / 0 mil pad. The.8mm BGA used approximately 8 thru-vias and 65 microvias to route out while the.65mm BGA used approximately 75 microvias and no thru vias. The design rules chosen did enable a thru-via to be placed within the.65mm pad array. The number of vias used to provide connectivity deviated slightly from theoretical because of how the power and grounds were connected.. Cellular Transceiver Case Study A GSM cellular transceiver board was used to compare the single chip and multichip approaches. The motherboard and its subsection partitioning are shown in the figures in Figure. 4. Single Chip BGA Approach Currently, the most popular choice for IC packaging in portable wireless products is to use single chip ball grid arrays. The main ICs in the wireless product in this case study, the baseband processor, power management, memory, and RF/IF were all packaged in single chip Micro-Ball Grid Array packages. Ball Grid Array packages at.8mm pitch were used for the 00 I/O RF/IF IC, the 96 I/O baseband processor, and the 00 I/O power management processor. Ball Grid Array packages at.75mm pitch were used for the two 48 I/O memory devices. The interconnection required for connectivity is illustrated in the routing net diagram shown in Figure 4. Notice in Figure 4 that the densest routing is in the logic / baseband section with the most congestion occurring between the baseband processor and the memory. logic RF / IF logic RF / IF Figure. Transceiver board outline and major subsections. The two main subsections are the RF/IF and the baseband. The RF / IF is usually composed of discrete and modular components and an Application Specific Integrated Circuit (ASIIC). In this case study, the RF ASIIC had 00 I/O. The other major subsection is the baseband. The baseband section includes the digital signal processing, microprocessing, memory, and power management functions. Typically, it is the most silicon intensive section of a phone. In this case, the baseband section integrated circuits were comprised of the baseband processor which includes the microprocessor and digital signal processing functions at 96 I/O, the power management integrated circuit at 00 I/O, a static random access memory (SRAM) at 48 I/O, and a FLASH memory at 48 I/O. Three different designs were evaluated using the integrated circuit architecture described above. The first used single chip Micro-Ball Grid Array packages for each IC, the second a multichip package for the baseband processor and memory, and a third stacked chip memory. The three options were then evaluated with respect to motherboard complexity and board area. Figure 4. Net guides showing electrical connectivity. The design has a total routing density of ~0 in/in and a total pad density of 0 pads/in. In the logic section only, the routing density is ~55 in/in and the pad density is 540 pads/in. In order to provide optimum connectivity, microvia Printed Circuit Board technology was required. The Printed Circuit Board technology that was necessary to effectively provide connectivity had a four layer core with one microvia layer on each side (i.e. - 4-). The design rules needed included.004" lines / spades,.00" thru via with a.00" pad, and a.005" microvia with a.00" pad. The main need for the use of microvia board technology was to provide interconnection in the baseband section. 5. Multichip Approach An alternative transceiver design used a multichip package in the baseband section instead of single chip packages. The purpose of this design was to evaluate the impact the multichip package had on the mother board substrate technology needed for

4 Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products interconnection, board area, and interposer substrate technology. Other authors have previously presented products which were designed using this approach and discuss the electrical performance and cost tradeoffs 5,6. From a design standpoint, the two main reasons for evaluating the multichip approach would be to minimize some of the dense routing on the motherboard, thus enabling a simpler technology to be used and to try to reduce the overall component area compared to a single chip approach. Since the most dense routing is attributed to the interconnect between the baseband processor and memory, these were the ICs chosen for a multichip module. The topside layout of the module is shown in Figure 5. MCM Figure 5. Top side layout of baseband/memory multichip module. The module was a wirebond design and used a build-up microvia board as the substrate. The substrate was a doublesided core with filled buried vias and two build-up microvia layers on each side (i.e. --). The design rules for the module were.00" line and space,.008" drilled buried vias with a.08" pad, and.004" microvias with a.008" pad. These design rules enabled an overall area reduction of about 0% to 5% compared to three single chip. The single chip packages had a total I/O count of approximately 75 which the MCM package reduced to 40. The result was a Ball Grid Array pattern on the backside of the substrate which was able to be placed on a mm pitch. With the localized routing density between the baseband and the memory being constrained within the multichip module substrate, the pad and routing density of the motherboard are significantly decreased. The electrical connectivity guides for the motherboard using the module are shown in Figure 6. As a result of the reduction in pad and routing density, the motherboard needed was a conventional six layer thru-hole construction. The design rules were.005" line and space and.00" drilled via with a.00" pad. Figure 6. Connection guides for the six layer motherboard using a multichip module. The total pad density on the motherboard was reduced to 400 pads / in with the pad density in the logic area only being 460 pads / in. The routing density of the motherboard was 85 in / in with the baseband section having a density of 05 in/in. Compared to the single chip package approach, the baseband board area was reduced by % and the overall board reduction was %. 6. Stacked Chip Approach A hybrid of the single chip and multichip approaches which is beginning to gain momentum is the stacked or vertical chip package. Initially, the main application for the package is to stack FLASH and SRAM memory in a single BGA package. For a concise overview of the various packaging methods for this stacked chip packages, Reference 7 is recommended. A third design was achieved using stacked memory die in the baseband section of the phone. Referring back to Figure 4, it was anticipated that the stacked chip package would eliminate sufficient interconnect density to enable a simpler board construction and reduce board area. After finishing the design, no significant decrease in routing density occurred and a -4- microvia circuit board with design rules similar to the single chip approach were still required. However, a 0% reduction in the baseband area was achieved which resulted in a 5% reduction in overall board area. 7. Conclusion The increase in functionality requirements for portable products is driving the increase in IC I/O count and the reduction in component packaging size. In order to meet these requirements

5 IC packages are moving to successively finer pitch. The combination of these factors is causing an increase in the pad and routing density requirements for Printed Circuit Board substrates. This increase in routing and pad density is most evident in the baseband subsection of the device. When single chip, multichip, and stacked chip packaging alternatives are compared, the single chip, and stacked chip approaches place the greatest routing requirements on the motherboard with the multichip package the least. It is possible to design the multichip module such that enough connectivity is placed in the interposer substrate to enable a simpler PCB mother board technology to be used. The single chip packages used in this study also required the most mother board area and the stacked chip packages the least. However, it is possible that as single chip packages migrate to.65mm and.5mm, the difference in area reduction may not longer be realized. Going forward, the stacked chip approach probably offers the best near term alternative to single chip packages in selected applications. Longer term though, as additional functionality is added, the multichip or stacked multichip package approach should be considered. About the author Tom Swirbel is a Principal Staff Engineeer in the Advanced Product Technology Center at Motorola in Plantation, Florida. His major areas of focus are substrate and substrate design technologies. He has a B.S. Degree in Materials Science and Engineering from Wilkes University and a M.S. Degree in Solid State Science and Technology from Syracuse University. He holds 4 US Patents and Trade Secrets and has presented numerous papers at various IEEE, IPC, and IMAPS conferences. References. Tom Swirbel, Adolph Naujoks, and Mike Watkins, Electrical Design and Simulation of High Density Printed Circuit Boards, Proceedings of the 998 Electronic Components and Technology Conference, ECTC 98, Seattle, Washington, pp , June -4, Happy Holden, Advanced PWB s; Build-up Multilayers: Technologies and Design, Fabricating Advanced PWBs Using Build-up Technologies Workshop, San Jose, California, March 9, Ibid 4. Tom Swirbel, Substrate Requirements for Effective integration of CSP and MCM in Portable Wireless Products, International Journal of Microcircuits and Electronic Packaging, IJMEP, Vol., No., Third Quarter, pp. 48 5, Georg Meyer-Berg, A Digital Cellular Phone MCM A Manufacturing Report, Proceedings of the 999 International Conference on High Density Packaging and MCMs, Denver, Colorodo, pp , April 6-9, Fujitsu F0 Hyper Digital Cellular Phone. 7. Intel Corporation website 4

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Memory Technologies for the Multimedia Market

Memory Technologies for the Multimedia Market Memory Technologies for the Multimedia Market Hitachi Review Vol. 50 (), No. 2 33 Katsuyuki Sato, Ph.D. Yoshikazu Saito Hitoshi Miwa Yasuhiro Kasama OVERVIEW: Different mobile multimedia-oriented products

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

BGA Fanout Patterns. Charles Pfeil. Engineering Director Systems Design Division

BGA Fanout Patterns. Charles Pfeil. Engineering Director Systems Design Division BGA Fanout Patterns Charles Pfeil Engineering Director Systems Design Division IPC Irvine Charles Pfeil Background 1966-1987 PCB Designer 1978-1987 Founder of Computer Circuits Inc., Fairfax VA, PCB Design

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips Available contact styles: Elastomer interposers (10 Ghz & more) Probe pin sockets (generally below 5 Ghz) Other interposer styles

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

High Reliability Electronics for Harsh Environments

High Reliability Electronics for Harsh Environments High Reliability Electronics for Harsh Environments Core Capabilities API Technologies is a world leader in the supply of microelectronic products and services supporting mission critical applications,

More information

Lab 9 PCB Design & Layout

Lab 9 PCB Design & Layout Lab 9 PCB Design & Layout ECT 224L Department of Engineering Technology Lab 9 PCB Traces Size dependent upon electrical requirements, design constraints (routing space and clearance), and trace/space resolution

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Achieving GHz Speed in Socketed BGA Devices

Achieving GHz Speed in Socketed BGA Devices IC INTERCONNECT TOPIC #102 Technical Information from Ironwood Electronics Achieving GHz Speed in Socketed BGA Devices Ila Pal Director of R&D Ironwood Electronics Background For many products designed

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

Partner Early for HDI Board Design

Partner Early for HDI Board Design Partner Early for HDI Board Design by John Levreault Summary: When customers want to design boards that are as compact as possible, there is often very little reliable guidance from the chip vendors. Heed

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Vertical Conductive Structures

Vertical Conductive Structures Vertical Conductive Structures A new Interconnect Technique Agenda The need for an alternative PCB technology Introduction of VeCS Technology comparison Cost comparison State of VeCS technology Application

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz, M.Beesley AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Packaging Technology of the SX-9

Packaging Technology of the SX-9 UMEZAWA Kazuhiko, HAMAGUCHI Hiroyuki, TAKEDA Tsutomu HOSAKA Tadao, NATORI Masaki, NAGATA Tetsuya Abstract This paper is intended to outline the packaging technology used with the SX-9. With the aim of

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns.

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns. High Density Interconnect Technology (HDI) for Chip Scale and Land Grid Array Packaging for Space Qualifiable Radiation Hardened Systems on a Chip Solutions Sasan Ardalan, Donald Elkins Microelectronics

More information

Patented socketing system for the BGA/CSP technology

Patented socketing system for the BGA/CSP technology Patented socketing system for the BGA/CSP technology Features: ZIF handling & only 40 grams per contact after closing the socket Sockets adapt to all package styles (at present down to 0.40mm pitch): Ceramic

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005 2005 IBM Power and Cooling Technology Symposium Advancements in Power Interconnect Presenter: Don Wood Date: September 21, 2005 Overview This presentation examines the following power interconnect trends

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Published on Online Documentation for Altium Products (https://www.altium.com/documentation)

Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > Defining the Layer Stack Using Altium Documentation Modified by Phil Loughhead on Apr 11, 2017 Related

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

Application Note AN-289

Application Note AN-289 BGA 256-pin Routing Application Note AN-289,QWURGXFWLRQ By Paul Snell and John Afonasiev IDT uses the 256 PBGA package for several of its products. Although creating an optimal layout with a PBGA package

More information

Multi Level Stacked Socket Challenges & Solutions

Multi Level Stacked Socket Challenges & Solutions Multi Level Stacked Socket Challenges & Solutions Mike Fedde, Ranjit Patil, Ila Pal & Vinayak Panavala Ironwood Electronics 2010 BiTS Workshop March 7-10, 2010 Content Introduction Multi Level IC Configuration

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

ELASTOMERIC CONNECTORS. the smart solution for high-volume interconnections in compact design

ELASTOMERIC CONNECTORS. the smart solution for high-volume interconnections in compact design ELASTOMERIC CONNECTORS the smart solution for high-volume interconnections in compact design A NICE SIMPLE, RELIABLE CONNECTION How do elastomeric connectors work? STAX elastomers are zero insertion force

More information

Circuit Board Guidelines for aqfn Package nan-40

Circuit Board Guidelines for aqfn Package nan-40 Circuit Board Guidelines for aqfn Package nan-40 Application Note v1.1 4413_398 v1.1 / 2018-04-23 Contents Revision history.................................. iii 1 Introduction...................................

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Board Design Guidelines for Intel Programmable Device Packages

Board Design Guidelines for Intel Programmable Device Packages Board Design Guidelines for Intel Programmable Device Packages AN-114 2017.02.24 Subscribe Send Feedback Contents Contents 1 Board Design Guidelines for Intel Programmable Device Packages...3 1.1 Overview

More information

15 x 15 mm PBGA Daisy-Chain Application Report

15 x 15 mm PBGA Daisy-Chain Application Report 15 x 15 mm PBG Daisy-Chain pplication Report Motorola, Incorporated Semiconductor Products Sector 6501 William Cannon Drive West ustin TX 78735-8598 by Elisa Huang with Debbie Hagen and ndrew Mawer This

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

3D packaging continues electronics footprint reduction

3D packaging continues electronics footprint reduction Packaging for Portables; Going Vertical & Getting Small 3D packaging continues electronics footprint reduction CTEA Electronics Design & Manufacturing Symposium & Vendor Show Austin, Texas / October 07,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

High-Density Design with MicroStar BGAs

High-Density Design with MicroStar BGAs High-Density Design with MicroStar BGAs Gerald Capwell Fixed-Point DSP Applications Abstract The consumer electronics industry constantly faces new challenges to design their solutions smaller and less

More information

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality NORTH CORPORATION Development of IC Packaging Components Enabling Increasing Product Functionality I. Bump Interconnection (NMBI) Business PWB technology shift toward increased circuit layer count and

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Second edition. Charles Pfeil

Second edition. Charles Pfeil Second edition Charles Pfeil BGA Breakouts and Routing Effective Design Methods for Very Large BGAs Second Edition Version 5.1 Charles Pfeil 5/12/2010 Very large BGAs, over 1500 pins, present a unique

More information

Toshiba America Electronic Components, Inc. Flash Memory

Toshiba America Electronic Components, Inc. Flash Memory Toshiba America Electronic Components, Inc. Flash Memory Fact Sheet Company Overview Combining quality and flexibility with design engineering expertise, TAEC brings a breadth of advanced, next-generation

More information

AXIEM EM Simulation/Verification of a Cadence Allegro PCB

AXIEM EM Simulation/Verification of a Cadence Allegro PCB Application Example AXIEM EM Simulation/Verification of a Cadence Allegro PCB Overview This application example outlines the electromagnetic (EM) simulation and verification flow that exists between Cadence

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

Application Note 5363

Application Note 5363 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Lead-free Surface Mount Assembly Application Note 5363 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

DAC348x PCB Layout Guidelines for the Multi-Row QFN package

DAC348x PCB Layout Guidelines for the Multi-Row QFN package Texas Instruments Application Report DAC348x PCB Layout Guidelines for the Multi-Row QFN package Russell Hoppenstein Revision 1.0 Abstract This document provides additional information related to the multi-row

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745

More information

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc.

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. RF/microwave design can be challenging, to say the least. Even with the impressive advances in computer aided design (CAD) software of the past

More information

NXP s innovative GX packages: Saving space, reducing cost

NXP s innovative GX packages: Saving space, reducing cost NXP s innovative GX packages: Saving space, reducing cost Discrete logic is certainly not new, and NXP recognizes its enduring importance for today s applications. That s why we continue to innovate. With

More information

Hybrid Wafer Testing Probe Card

Hybrid Wafer Testing Probe Card Chris Sellathamby Scanimetrics Inc. Hybrid Wafer Testing Probe Card June 5, 2007 San Diego, CA USA Overview Existing Issues Contact Damage Challenge Wireless (Non-contact) for Data Contact Probes for Power

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Module 7 Electronics Systems Packaging

Module 7 Electronics Systems Packaging Module 7 Electronics Systems Packaging Component Assembly, materials for assembly and joining methods in electronics -Surface Mount technology- design, fabrication and assembly; -failures library; -materials

More information

Reliability Study of Bottom Terminated Components

Reliability Study of Bottom Terminated Components Reliability Study of Bottom Terminated Components Jennifer Nguyen, Hector Marin, David Geiger, Anwar Mohammed, and Murad Kurwa Flextronics International 847 Gibraltar Drive Milpitas, CA, USA Abstract Bottom

More information

Decoupling Solutions

Decoupling Solutions Decoupling Solutions Michael Randall, Bill Sloka, Mark Laps, Garry Renner, John Prymak, Peter Blais, Aziz Tajuddin KEMET Electronics Corporation, 201 Fairview Street Extension, Fountain Inn, SC 29644 Phone:

More information