Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Size: px
Start display at page:

Download "Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology"

Transcription

1 Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong, Sundong-gu, Seoul , Korea JinYoung.Khim@amkor.co.kr * Amkor Technology Inc 1900 South Price Road, Chandler, AZ 85286, USA Curtis.Zwenger@amkor.com corresponding and presenting author I. SUMMARY During the past few years, Wafer Level Fan-Out (WLFO) has emerged as one of the leading advanced packaging technologies for the electronics industry, providing the opportunity for increased I/O density, reduced package footprint, improved electrical/mechanical performance, and reduced cost. WLFO is now well-established as a viable alternative to conventional laminate-based and wafer-based packages. More recently, WLFO has shown its ability to extend itself into more innovative and advanced structures to support the increased functionality and performance requirements of next generation mobile and networking devices. By applying enabling technologies, such as Thru- Mold Via (TMV ) Fine Pitch Copper Pillar (FP CuP) bumping, and Chip-on-Chip (CoC) bonding, WLFO extends its applications and benefits to the area of 3D Packaging. Two such structures are 3D PoP (Package-on-Package) WLFO and Face-to-Face (F2F) WLFO. Positive development and qualification results indicate that WLFO has the ability to extend itself beyond simple 2D structures to meet the needs of more advanced applications and device technologies. II. INTRODUCTION The tremendous growth in the mobile handset, tablet, and networking markets has been fueled by consumer demand for increased mobility, functionality, and ease of use. This, in turn, has been driving an increase in functional convergence and 3D integration of IC devices, resulting in the need for more complex and sophisticated packaging techniques. A variety of advanced IC interconnect technologies are addressing this growing need, such as Thru Silicon Via (TSV), Chip-on-Chip, and Package-on-Package (PoP). In particular, the emerging Wafer Level Fan-Out technology provides unique and innovative extensions into the 3D packaging realm. Wafer Level Fan-Out is a package technology designed to provide increased I/O density within a reduced footprint and profile for low density single & multi-die applications at a lower cost. The improved design capability of WLFO is due, in part, to the fine feature characteristics associated with wafer level packaging. This can allow much more aggressive design rules to be applied compared to competing laminate-based technologies. In addition, the unique characteristics of WLFO enable innovative 3D structures to be created that address the need for IC integration in emerging mobile and networking applications. This paper reviews the development of WLFO and its extension into unique 3D structures. In addition, the advantages of these WLFO designs are compared to current competing packaging technologies. Process & material characterization, design evaluation, and reliability data are presented to show how WLFO is poised to provide robust, reliable, and low cost 3D packaging solutions for advanced mobile and networking products. III. 2D WAFER LEVEL FAN-OUT Two-Dimensional (2D) WLFO is well documented as a robust and reliable wafer-level package (WLP) technology for electronic devices. Figure 1 illustrates a cross section of a typical 2D WLFO structure. Fig 1. 2D WLFO structure The fundamental WLFO technology is based on the embedding of die into a molded wafer (also referred to as wafer reconstitution ). The molded wafer is then processed through standard wafer-level packaging processes to create the final package structure. The active surface of the die is coplanar with the mold compound, allowing for the fan-out of conductive copper traces and solder ball pads into the molded area using conventional redistribution layer (RDL) processing. A cross section photo of the die and mold transition area is shown in Figure 2. Fig 2. SEM of WLFO cross section

2 The elimination of a conventional laminate substrate and utilization of wafer-level packaging s superior design and feature size capabilities provide many benefits of WLFO, including: Increased I/O density Reduced form factor (including z-height) Improved electrical and mechanical performance Multi-chip capability Outstanding cost/performance capability (through co-design optimization) Scalability within a heterogeneous assembly platform Opportunity for advanced 3D structures Although WLFO is technically a wafer-level package, it retains one of the key characteristics of a conventional molded laminate package the mold compound itself. An example of a WLFO package is shown in Figure 3. The intrinsic benefit of the mold compound is that it has shown to improve board level reliability (compared to an equivalent size WLP structure) due to the reduced CTE mismatch between the mold compound and motherboard. Another key attribute of the molded area is that it provides a media to create innovative 3D structures. Fig 3. 2D WLFO package Fig 5. 3D WLFO key process steps Although the 3D PoP WLFO structure looks very similar to a conventional laminate-based TMV PoP (as shown in Figure 6), 3D PoP WLFO has additional technical merits due to the use of wafer level packaging technology. For example, the WLP process uses much thinner conductive and dielectric layers compared to typical laminate substrate build-up technology. The resulting WLFO RDL stack-up provides improved electrical performance due to less parasitic elements. In addition, the elimination of additional interconnection features, such as wire bonds or flip chip bumps, provides the opportunity for cost reduction. And finally, the ability to thin WLFO packages while still in wafer form allows the capability to create very thin package structures for PoP applications. (a) (b) Fig 6. Comparison of package structure (a) WLFO type (b) PCB type These advantages of 3D PoP WLFO enable unique applications for mobile devices, such as the multi-stack structure shown in Figure 7. By controlling individual package thickness to less than 300um (as shown in Table 1), a final 4-package stacked thickness of 1.2mm can be achieved. IV. 3D WAFER LEVEL FAN-OUT Standard wafer level fan-out technology can be expanded in the vertical (z) direction when connecting it as a 3D PoP structure, as shown Figure 4. This is enabled through the use of advanced laser and via fill processes. Fig 4. 3D WLFO PoP Package structure The front side (i.e., active die side) RDL process for 3D PoP WLFO is nearly the same with that of standard 2D WLFO. However, a laser drilling (or equivalent) process is used to expose an RDL feature from the back side (i.e., the mold side). Figure 5 illustrates the key process steps for the 3D PoP WLFO fabrication process. Fig 7. Example of 3D PoP WLFO memory stack TABLE I PACKAGE DIMENSION (SINGLE PoP) Unit: mm Item WLFO Mold cap 0.15 Substrate (WLFO: 2 layer RDL) 0.05 BGA (0.4mm pitch) 0.10 Total thickness (max) 0.30 Another attribute of 3D WLFO is that, through the use of TMV technology, the active die side can be oriented faceup and exposed to the environment an ideal condition for biometric sensing applications. Fine pitch vias are used to

3 make connections between the front (die) side and back (mold) side, to translate the solder ball pads to the back side of the package. This structure is illustrated in Figure 8. EMC Die D1 CuD2 D3 Fig 8. Sensing application for 3D WLFO The core technology of WLFO 3D PoP is the TMV process that drills vias through epoxy mold compound (EMC) using laser ablation. The vias are then filled with a conductive material to establish a reliable electrical connection with the underlying RDL feature. One of the constraints of the TMV structure is its limitation in creating increasing finer pitch and deeper via geometries. Process characterization is required to ensure the via structure can endure the thermal and mechanical stresses of the TMV process. The intense localized heating during the laser drilling process, coupled with a high plasma gas environment, can cause oxidation and contamination on the targeted copper RDL pad on the front side of the package. Process optimization is critical to ensure a robust TMV process and a contamination-free and oxide-free electrical interface. In addition, EMC materials are highly concentrated with silica filler. When subjected to laser ablation, the filler breaks into very fine particles that can contaminate the surface of the wafer. To minimize the risk of contamination, special cleaning methods are applied after the laser ablation process. Figure 9 illustrates the laser drilling process and shows examples of drilled and filled vias. K The top package in a TMV PoP stack typically establishes its connection by nesting its solder balls directly on top of the underlying package s TMV structure (as previously illustrated in Figure 7). In cases where redistribution of the TMV pattern is needed on the mold side of the package, direct laser patterning techniques can be selectively applied, either on a polymer layer or directly on the EMC surface. Figure 10 shows redistribution patterns connecting the TMV structures to the BGA pads by using direct laser ablation on either the EMC surface or on a polymer layer. Fig 10. Redistribution layers and TMV structure on EMC (left) and on a polymer layer (right) V. FACE-TO- FACE WLFO Another innovative 3D structure is Face-to-Face WLFO. This fan-out package, shown in Figure 11, has a depopulated BGA pattern within which a second die is connected directly to the underlying WLFO RDL structure. This direct chip attach methodology results in a small form factor package that provides very low latency signal path for high speed data transfer between memory and logic components. Fig 11. F2F WLFO Structure The general process flow for F2F WLFO is illustrated in Figure 12 below. Laser Drilled via Fig 12. Overall process of F2F WLFO After via filling Fig 9. Thru-Mold Via (TMV ) process The core technology (and key challenge) for F2F WLFO processing is pattern alignment. A molded wafer (as opposed to a standard silicon wafer) shrinks and expands due to the thermal stress it experiences during WLFO processing. In addition, the actual die stepping distance of a molded wafer has a more significant deviation from the design value due to the die attach machine s placement tolerance. Consequently, design, material, and process optimization is needed to provide the most robust die to die bonding results. For example, the die attach machine s placement tolerance must

4 be accounted for in the F2F design rules. Also, optimized mold compound formulations, with a low coefficient of thermal expansion (CTE) and low moisture absorption, can also provide more consistent process results. And finally, a molding process that distributes uniform temperature and pressure should be used to minimize the die shift during molding. Figure 13 shows the dramatic impact of the chip attach and molding processes on the dies location within the WLFO wafer. Fig 14. F2F molded wafer with RDL Fig 15. F2F interconnect area on the molded die (1200 total I/O) Fig 16. Fine pitch (40um) copper pillar F2F interconnect pattern VI. QUALIFICATION AND RELIABILITY LEVEL OF 3D WLFO Reliability tests have been performed on 3D PoP and 3D F2F WLFO packages. The status and results of these tests are shown in Table II below. Fig 13. Die position tolerance after chip attach and molding During the redistribution processing of a F2F WLFO structure, optimized conditions must be established that control the distortion and warpage of the molded wafer within allowable tolerances. This is a key element to ensure the successful alignment of the CoC interconnection during the chip mounting process. Figure 14 shows a F2F test vehicle s molded wafer with RDL patterning applied. Note the two gray rectangular shaped patterns within each package body that define the F2F interconnect area. Figure 15 shows the actual F2F interconnect area on the molded die. Figure 16 is a picture of the molded die s fine pitch interconnect pattern. This F2F WLFO test vehicle s overall package size is 14x14mm, the molded die and CoC die are both 8x8mm, and the final F2F package thickness is 250um. The number of interconnects on the CoC die is 1,200 with a pitch is 40um. TABLE II 3D PoP and 3D F2F WLFO Reliability Test Results 3D PoP 3D F2F MSL L3 260 C Temp Cycle Condition B 500 cycles 1000 cycles Ongoing uhast 85 C/85% RH High Temp 150 C Storage 1000 hours Board Level Temp Cycle & Reliability Drop Shock Ongoing Ongoing VII. CONCLUSION Conventional two dimensional WLFO packaging provides a robust platform for extensions into more advanced three dimensional structures. Two innovative 3D WLFO packages, 3D PoP and F2F WLFO, have been successfully

5 created through the use of key enabling technologies, such as TMV, FP CuP bumping, and CoC bonding. The resulting 3D PoP WLFO package experiences a dramatic reduction in z-height and the opportunity for improved electrical performance both critical attributes for the demanding mobile device market. The F2F WLFO package provides the most optimum low-latency electrical interconnect for mobile computing and networking applications. Through the development of accurate design rules and the optimization of key assembly processes, a robust 3D WLFO platform has been established. Reliability test results are positive. New, innovative WLFO packages, such as 3D PoP and F2F WLFO, are poised to play a key role in supporting the demanding packaging requirements for mobile and networking applications. ACKNOWLEDGMENT The authors would like to thank the members of the Amkor Korea R&D team, including SungKyu Kim, JuHoon Yoon, Glenn Rinne, and Choon Heung Lee, and the Advanced Package Development team in Research Triangle Park, NC, including Dean Zehnder, Riki Whiting, and Junghee Ko, for their role in the development of the 3D WLFO package technology.

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Advanced Flip Chip Package on Package Technology for Mobile Applications

Advanced Flip Chip Package on Package Technology for Mobile Applications Advanced Flip Chip Package on Package Technology for Mobile Applications by Ming-Che Hsieh Product and Technology Marketing STATS ChipPAC Pte. Ltd. Singapore Originally published in the 17 th International

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Application Note 5363

Application Note 5363 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Lead-free Surface Mount Assembly Application Note 5363 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development Fine Pitch High Bandwidth Flip Chip Package-on-Package Development by Ming-Che Hsieh, STATS ChipPAC Pte. Ltd. Stanley Lin, MediaTek, Inc. Ian Hsu, MediaTek, Inc. Chi-Yuan Chen, MediaTek, Inc. NamJu Cho,

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Design and Assembly Process Implementation for BGAs

Design and Assembly Process Implementation for BGAs ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design and Assembly Process Implementation for BGAs Developed by the Device Manufacturers Interface Committee of IPC October 25, 2000 Users of this standard

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz, M.Beesley AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Custom Connectors Overview

Custom Connectors Overview Company Overview March 12, 2015 Custom Connectors Overview Tuesday, October 03, 2017 CONNECTOR OVERVIEW Engineering Manufacturing Connector Products HiLo FlexFrame Custom Connectors Standard Connectors

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging.

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging. ----- Meeting Notes (10/8/13 10:34) ----- The mobile market is driving growth and inovation in packaging. 2 Mobile drives growth and the future of computing Dynamic of industry is quickly changing Devices

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Seung Wook Yoon, *Boris Petrov, **Kai Liu STATS ChipPAC Ltd. 10 #04-08/09 Techpoint Singapore 569059 *STATS

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Packaging for the. Contents. Cloud Computing Era. DIMM-in-a- Package/xFD. BVA PoP. Conclusions. Ilyas Mohammed January 24, /24/2013

Packaging for the. Contents. Cloud Computing Era. DIMM-in-a- Package/xFD. BVA PoP. Conclusions. Ilyas Mohammed January 24, /24/2013 Packaging for the Cloud Computing Era Ilyas Mohammed January 24, 2013 Contents Cloud Computing DIMM-in-a- Package/xFD BVA PoP Conclusions Client-Server Design and Performance Features Roadmaps Trends Assembly

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation.

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. Agenda >Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. >Embedded Device Technology #1. Embedded MLCC in FCCSP Substrate. #2. Embedded Active Device.

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Ila Pal - Ironwood Electronics Introduction Today s electronic packages have high

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Model XDL S Rev A

Model XDL S Rev A Delay Line DESCRIPTION The XDL15-3-030S can be used in amplifier linearization applications from 135 2700Mhz. Small form factor of XDL15-3-030S is ideal for cascading to obtain longer delay. The Xinger

More information

Next Generation Package on Package

Next Generation Package on Package Next Generation Package on Package Alternative PoP with Routable Substrate Interposer for Stacking Solution Steven(Jui Cheng) Lin, Siliconware Precision Industries Co., Ltd Outline High IO / Wide IO Market

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Improvement of ELK Reliability in Flip Chip Packages using Bond-on-Lead (BOL) Interconnect Structure

Improvement of ELK Reliability in Flip Chip Packages using Bond-on-Lead (BOL) Interconnect Structure Improvement of ELK Reliability in Flip Chip Packages using Bond-on-Lead (BOL) Interconnect Structure by Eric Ouyang, MyoungSu Chae, Seng Guan Chow, Roger Emigh, Mukul Joshi, Rob Martin, Raj Pendse STATS

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Application Note AN-1028 Design, Integration and Rework Guidelines for BGA and LGA Packages

Application Note AN-1028 Design, Integration and Rework Guidelines for BGA and LGA Packages Application Note AN-1028 Design, Integration and Rework Guidelines for BGA and LGA Packages Table of Contents Page Design considerations...2 Substrate...2 PCB layout...2 Solder mask openings...3 Terminations...4

More information

PROCESSING RECOMMENDATIONS. For Samtec s SEAM8/SEAF8 Vertical Connectors

PROCESSING RECOMMENDATIONS. For Samtec s SEAM8/SEAF8 Vertical Connectors The method used to solder these high density connectors is the same as that used for many BGA devices even though there are some distinct structural differences. BGA s have spherical solder balls attached

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee From Advanced Package to 2.5D/3D IC Amkor Technology : Choon Lee History says Low pin High pin & Integration As Multi-function pager City phone / PCS Feature Phone Smart Phone SOIC QFP PBGA Package-on-Package

More information

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY W. Koh, PhD Huawei Technologies JEDEC Mobile & IOT Forum Copyright 2017 Huawei Technologies, Ltd. OUTLINE Mobile and IoT Device

More information

Wire Bonding Solutions for Complex Memory Packages. John Foley Kulicke & Soffa Industries

Wire Bonding Solutions for Complex Memory Packages. John Foley Kulicke & Soffa Industries Wire Bonding Solutions for Complex Memory Packages John Foley Kulicke & Soffa Industries Growth in the Memory Market Segment DRAM Unit Shipment Forecast (M units) By Density 2014 2015 2016 2017 2018 2019

More information

Socket Technologies

Socket Technologies Socket Technologies Introduction Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Socket Technology

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

NXP s innovative GX packages: Saving space, reducing cost

NXP s innovative GX packages: Saving space, reducing cost NXP s innovative GX packages: Saving space, reducing cost Discrete logic is certainly not new, and NXP recognizes its enduring importance for today s applications. That s why we continue to innovate. With

More information

Socket Technologies

Socket Technologies Socket Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Application Development for Flexible Hybrid Printed Electronics

Application Development for Flexible Hybrid Printed Electronics Application Development for Flexible Hybrid Printed Electronics Lok Boon Keng, Yusoff Bin Ismail, Joseph Chen Sihan, Cheng Ge, Ronnie Teo Large Area Processing Programme Emerging Application Division Outline

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr August 2011 - Version 1 Written by: Sylvain HALLEREAU

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

PROCESSING RECOMMENDATIONS

PROCESSING RECOMMENDATIONS PROCESSING RECOMMENDATIONS For Samtec s 0.80mm SEARAY Right Angle (SEAF8-RA) Connectors The method used to solder these high-density connectors is the same as that used for many BGA devices even though

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics Rethinking the Hierarchy of Electronic Interconnections Joseph Fjelstad Verdant Electronics The Industry s Terminology Challenge» The electronics industry continues to explore and develop new methods to

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

BGA Socketing Systems

BGA Socketing Systems DATA BOOK BGA-TECH04 (REV. 3/04) BGA Socketing Systems Search for a footprint or build a part number online at www.bgasockets.com Solutions for Virtually Any BGA Application BGA Socket Adapter System Designed

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information