Layout DA (Physical Design)

Size: px
Start display at page:

Download "Layout DA (Physical Design)"

Transcription

1 Layout DA (Physical Design) n Floor Planning n Placement and Partitioning n Global Routing n Routing n Layout Compaction 1

2 Routing n Types of Local Routing Problems n Area Routing u Lee s Algorithm n Channel Routing u Channel Routing Models u Constraint Graph u Algorithm n Global Routing 2

3 Types of Routing Problems n global routing (loose routing) n detail routing (local routing) n area routing n channel routing 3

4 Types of Local Routing Problems characterizing parameters. n The number of wiring layers. n The orientation of wire segments. u Reserved-layer models. n Gridded or gridless. n The presence or absence of obstacles. n The position of terminals. n Terminal s with a fixed or floating position. n Permutability of terminals. n Electrically equivalent Terminals. 4

5 Area Routing n Terminals are allowed anywhere in the area available for routing. n Lee s Algorithm (1961). maze routing or path connection algorithm. n wave propagation, backtracing, and cleanup. 5

6 The basic version of Lee s Algorithm. (a) problem. (b) the wave propagated. (c) the path found. (d) cleanupped. 6

7 Lee Algorithm n O(n 2 ) n If a path exist, the algorithm always finds the shortest connection. n Multi( 3)-terminals net connection. u not the shortest connection. u the shortest connection is NP-Hard problem. n Ordering problem : nets have to be routed sequentially. 7

8 The basic version of Lee s Algorithm. 8

9 The basic version of Lee s Algorithm. (Wave propagation phase) 9

10 The basic version of Lee s Algorithm. (Backtracing phase) 10

11 Channel Routing n A natural problem occurs in standard-cell and building-block layout styles. n Routing nets across a rectangular channel. n The nets have fixed terminals at the top and bottom of channel and floating terminals at the open sides at the left and the right. n Height of channel is not fixed. n Similar but more difficult problem : u SWITCH BOX ROUTING 11

12 Channel Routing Models (classical model) n All wire run along orthogonal grid lines with uniform separation. n There are two wiring layer. n Horizontal segments are put on one layer and vertical segments on the other one. n For each net, the wiring is realized by a single horizontal segment, with vertical segments connecting it to terminals. n An exception : Cycles in the vertical constraint graph occurs. 12

13 An instance of the channel routing problem and a solution. 13

14 Variations n Gridless routing model u each wire has specific width. n Non-Orthogonal grids model u 45 turns allowed. n Algorithm can deal with more than 2-layers. n Non-reserved layer model. n The use of doglegs. 14

15 Non-reserved layer model Doglegging 15

16 The Vertical constraint graph (VCG) n Each column having two terminals in the sane layer gives rise to a vertical constraint. n Vertices : the endpoints of the terminal segments. n Directed edges : the relation shuold be located above. 16

17 (a) A simple channel routing problem. (b) VCG based on individual column. 17

18 (c) the VCG when one horizontal segment per net is used. (d) the unique solution within the classical model 18

19 (a) A simple problem. (b) A circular VCG. (c) The cycle is broken by splitting one of vertices. (d) Solution with dogleg. 19

20 Horizontal Constraint and the Left-edge algorithm n General channel routing problem is NP-Complete. n the left-edge algorithm (by Hashimoto-Stevens) optimally solves an instance of the channel routing problem without vertical constraint. n Worst-case time complexity of the left-edge algorithm is O(nlogn + dn) u n : number of intervals u d : the density (number of rows in solution) 20

21 Horizontal Constraint and the Left-edge algorithm n Horizontal Constraint : The segments put on the same row which belong to different nets cannot overlap. n Interval graph G(V,E) u for each interval i, vertex v V and edge (vk,vl) exist, if the corresponding intervals ik and il overlap. n The minimum number of rows for instance without vertical constraints equivalent to finding a vertex coloring of the interval graph with a minimal number of colors. 21

22 An instance of channel routing problem without vertical constraint. 22

23 Horizontal Constraint : the interval graph and its coloring. 23

24 The left-edge algorithm 24

25 Solution as found by the left-edge algorithm. 25

Channel & Switchbox Routing

Channel & Switchbox Routing Channel & Switchbox Routing channel routing Detailed routing switchbox routing Routing Models Grid-based model: A grid is super-imposed on the routing region. Wires follow paths along the grid lines. Gridless

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

K. Keutzer. A. Kahng

K. Keutzer. A. Kahng Routing in Integrated Circuits A. Kahng K. Keutzer A. R. Newton ECE 260B CSE 241A /UCB EECS 244 1 Class News Wednesday: Presentation of research topics in placement, routing, and timing Each group will

More information

Generating More Compactable Channel Routing Solutions 1

Generating More Compactable Channel Routing Solutions 1 Generating More Compactable Channel Routing Solutions 1 Jingsheng Cong Department of Computer Science University of Illinois, Urbana, IL 61801 D. F. Wong Department of Computer Science University of Texas,

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

A Multi-Layer Router Utilizing Over-Cell Areas

A Multi-Layer Router Utilizing Over-Cell Areas A Multi-Layer Router Utilizing Over-Cell Areas Evagelos Katsadas and Edwin h e n Department of Electrical Engineering University of Rochester Rochester, New York 14627 ABSTRACT A new methodology is presented

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

CADAPPLETS Visualization of VLSI CAD Algorithms

CADAPPLETS Visualization of VLSI CAD Algorithms CADAPPLETS Visualization of VLSI CAD Algorithms John A. Nestor Abstract This paper describes the development of visualization aids for VLSI Computer-Aided Design algorithms. Each visualization is implemented

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

ESE535: Electronic Design Automation. Today. Question. Question. Intuition. Gate Array Evaluation Model

ESE535: Electronic Design Automation. Today. Question. Question. Intuition. Gate Array Evaluation Model ESE535: Electronic Design Automation Work Preclass Day 2: January 21, 2015 Heterogeneous Multicontext Computing Array Penn ESE535 Spring2015 -- DeHon 1 Today Motivation in programmable architectures Gate

More information

Digital VLSI Design. Lecture 9: Routing

Digital VLSI Design. Lecture 9: Routing Digital VLSI Design Lecture 9: Routing Semester A, 018-19 Lecturer: Dr. Adam Teman January 5, 019 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources

More information

Pseudopin Assignment with Crosstalk Noise Control

Pseudopin Assignment with Crosstalk Noise Control 598 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 5, MAY 2001 Pseudopin Assignment with Crosstalk Noise Control Chin-Chih Chang and Jason Cong, Fellow, IEEE

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

Lesson 15 Working with Tables

Lesson 15 Working with Tables Working with Tables Computer Literacy BASICS: A Comprehensive Guide to IC 3, 4 th Edition 1 Objectives Create a table and insert text. Insert and delete rows and columns. Adjust column width and row height.

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Lesson 15 Working with Tables

Lesson 15 Working with Tables Working with Tables Computer Literacy BASICS: A Comprehensive Guide to IC 3, 5 th Edition 1 Objectives Create a table and insert text. Insert and delete rows and columns. Adjust column width and row height.

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

Tables Part I. Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables. Unit.

Tables Part I. Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables. Unit. Unit 9 Tables Part I Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables 2 45 Creating Tables Session Objectives Create a table Enter data

More information

Approximation Algorithms for Geometric Intersection Graphs

Approximation Algorithms for Geometric Intersection Graphs Approximation Algorithms for Geometric Intersection Graphs Subhas C. Nandy (nandysc@isical.ac.in) Advanced Computing and Microelectronics Unit Indian Statistical Institute Kolkata 700108, India. Outline

More information

On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model

On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model Kornélia Ambrus Somogyi Budapest Tech, email: ambrusne.somogyi.kornelia@nik.bmf.hu András Recski 1 Budapest

More information

EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID:

EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID: Group Formation Group member: Zhou Zhao, Raj Shah EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID: 8710-5983-10 Job Assignment My job is to design a intelligent FPGA intra router, which has following

More information

Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing

Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing MATEMATIKA, 2014, Volume 30, Number 1a, 30-43 Department of Mathematics, UTM. Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing 1 Noraziah Adzhar and 1,2 Shaharuddin Salleh 1 Department

More information

Starting guide for using graph layout with JViews Diagrammer

Starting guide for using graph layout with JViews Diagrammer Starting guide for using graph layout with JViews Diagrammer Question Do you have a starting guide that list those layouts, and describe the main parameters to use them? Answer IBM ILOG JViews Diagrammer

More information

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques Alexey Lvov Gus Tellez Gi-Joon Nam Background and motivation Manaufacturing difficulty 22nm:

More information

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications *

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Thorsten Adler Infineon Technologies AG Thorsten.Adler@infineon.com Erich Barke Institute of Microelectronic Systems

More information

Making and Editing a Table in Microsoft Word 2007

Making and Editing a Table in Microsoft Word 2007 Making and Editing a Table in Microsoft Word 2007 Table of Contents Introduction... 2 Creating a Table... 2 1. Finding the "Table" button... 2 2. Methods for making a table... 3 Editing Table Dimensions...

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

CS575 Parallel Processing

CS575 Parallel Processing CS575 Parallel Processing Lecture three: Interconnection Networks Wim Bohm, CSU Except as otherwise noted, the content of this presentation is licensed under the Creative Commons Attribution 2.5 license.

More information

INFS 2150 Introduction to Web Development

INFS 2150 Introduction to Web Development INFS 2150 Introduction to Web Development 6. Tables and Columns Objectives Explore the structure of a web table Create table heading and data cells Apply CSS styles to a table Create cells that span multiple

More information

INFS 2150 Introduction to Web Development

INFS 2150 Introduction to Web Development INFS 2150 Introduction to Web Development 6. Tables and Columns Objectives Explore the structure of a web table Create table heading and data cells Apply CSS styles to a table Create cells that span multiple

More information

9 Tables Part I. Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables. Unit.

9 Tables Part I. Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables. Unit. Unit 9 Tables Part I Session 45: Creating Tables Session 46: Modifying the Layout of Tables Session 47: Formatting the Design of Tables 2 45 Creating Tables Session Objectives Create a table Enter data

More information

Mathematics Curriculum

Mathematics Curriculum 6 G R A D E Mathematics Curriculum GRADE 6 5 Table of Contents 1... 1 Topic A: Area of Triangles, Quadrilaterals, and Polygons (6.G.A.1)... 11 Lesson 1: The Area of Parallelograms Through Rectangle Facts...

More information

Exemples of LCP. (b,3) (c,3) (d,4) 38 d

Exemples of LCP. (b,3) (c,3) (d,4) 38 d This layout has been presented by G. Even and S. Even [ 00], and it is based on the notion of Layered Cross Product Def. A layered graph of l+1 layers G=(V 0, V 1,, V l, E) consists of l+1 layers of nodes;

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

Page Layout Using Tables

Page Layout Using Tables This section describes various options for page layout using tables. Page Layout Using Tables Introduction HTML was originally designed to layout basic office documents such as memos and business reports,

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Rectangular Partitioning

Rectangular Partitioning Rectangular Partitioning Joe Forsmann and Rock Hymas Introduction/Abstract We will look at a problem that I (Rock) had to solve in the course of my work. Given a set of non-overlapping rectangles each

More information

MS Word Professional Document Alignment

MS Word Professional Document Alignment MS Word Professional Document Alignment Table of Contents CHARACTER VS. PARAGRAPH FORMATTING...5 Character formatting...5 Paragraph Formatting...5 USING SHOW/HIDE TO REVEAL NON-PRINTING CHARACTERS...5

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

ECE260B CSE241A Winter Routing. Website:

ECE260B CSE241A Winter Routing. Website: ECE260B CSE241A Winter 2007 Routing Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Routing 1 Homework Questions, January 24, 2007 Placement Lecture (Lecture #5) Question 1. Slide

More information

Data Partitioning. Figure 1-31: Communication Topologies. Regular Partitions

Data Partitioning. Figure 1-31: Communication Topologies. Regular Partitions Data In single-program multiple-data (SPMD) parallel programs, global data is partitioned, with a portion of the data assigned to each processing node. Issues relevant to choosing a partitioning strategy

More information

Bates Professional Print Shop Guide

Bates Professional Print Shop Guide Bates Professional Print Shop Guide Our new Bates Professional now has a Print Shop feature when selecting Labels from the Input Source menu. Below is an overview of the steps to use Print Shop: 1. NOTE:

More information

Edge Equalized Treemaps

Edge Equalized Treemaps Edge Equalized Treemaps Aimi Kobayashi Department of Computer Science University of Tsukuba Ibaraki, Japan kobayashi@iplab.cs.tsukuba.ac.jp Kazuo Misue Faculty of Engineering, Information and Systems University

More information

Geometric Spanner Networks: Open Problems

Geometric Spanner Networks: Open Problems Geometric Spanner Networks: Open Problems Giri Narasimhan Florida International University Miami, Florida, USA http://www.cs.fiu.edu/~giri Definition Dilation or Stretch Factor (t(n)) of a network N is

More information

How to lay out a web page with CSS

How to lay out a web page with CSS How to lay out a web page with CSS A CSS page layout uses the Cascading Style Sheets format, rather than traditional HTML tables or frames, to organize the content on a web page. The basic building block

More information

6 Mathematics Curriculum

6 Mathematics Curriculum New York State Common Core 6 Mathematics Curriculum GRADE GRADE 6 MODULE 5 Table of Contents 1 Area, Surface Area, and Volume Problems... 3 Topic A: Area of Triangles, Quadrilaterals, and Polygons (6.G.A.1)...

More information

Unit 5F: Layout Compaction

Unit 5F: Layout Compaction Course contents Unit 5F: Layout Compaction Design rules Symbolic layout Constraint-graph compaction Readings: Chapter 6 Unit 5F 1 Design rules: restrictions on the mask patterns to increase the probability

More information

Unit 3: Layout Compaction

Unit 3: Layout Compaction Unit 3: Layout Compaction Course contents Design rules Symbolic layout Constraint-graph compaction Readings: Chapter 6 Unit 3 1 Design rules: restrictions on the mask patterns to increase the probability

More information

A Re-router for Reducing Wire Length in Multi- Layer No-Dogleg Channel Routing Swagata Saha Sau a*, Rajat Kumar Pal a

A Re-router for Reducing Wire Length in Multi- Layer No-Dogleg Channel Routing Swagata Saha Sau a*, Rajat Kumar Pal a A Re-router Reducing Wire Length in Multi- Layer No-Dogleg Routing Swagata Saha Sau a*, Rajat Kumar Pal a a Department of Computer Science and Engineering, University of Calcutta, JD - 2, Sector-III, Salt

More information

Clustering in Data Mining

Clustering in Data Mining Clustering in Data Mining Classification Vs Clustering When the distribution is based on a single parameter and that parameter is known for each object, it is called classification. E.g. Children, young,

More information

PAF Chapter Junior Section Name : Class: 5 Sec: Date: SECTION - A

PAF Chapter Junior Section Name : Class: 5 Sec: Date: SECTION - A ICT CLASS-5 COMPREHENSIVE WORKSHEET Mid Term Session 2015-16 The City School PAF Chapter Junior Section Name : Class: 5 Sec: Date: Q1. Encircle any one correct option. i.) SECTION - A is an electronic

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Sequential/Parallel Global Routing Algorithms for VLSI Standard. Cells

Sequential/Parallel Global Routing Algorithms for VLSI Standard. Cells Sequential/Parallel Global Routing Algorithms for VLSI Standard Cells A Thesis Presented to The Faculty of Graduate Studies of The University of Guelph by HAO SUN In partial fulfilment of requirements

More information

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers , October 20-22, 2010, San Francisco, USA Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers I-Lun Tseng, Member, IAENG, Huan-Wen Chen, and Che-I Lee Abstract Longest-path routing problems,

More information

Design And Optimization Of Tracks For Channel Routing In Vlsi Physical Design

Design And Optimization Of Tracks For Channel Routing In Vlsi Physical Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 07-11 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design And Optimization Of Tracks

More information

Routing Algorithms for Flow-based Microfluidic Very Large Scale Integration Biochips

Routing Algorithms for Flow-based Microfluidic Very Large Scale Integration Biochips Routing Algorithms for Flow-based Microfluidic Very Large Scale Integration Biochips 28. June 2013 By: Martin Simonsen Hørslev-Petersen, S103054 Thomas Onstrup Risager, S103040 Supervisor: Paul Pop, DTU

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

IX. Format Tips. Row & column autofit

IX. Format Tips. Row & column autofit IX. Row & column autofit Excel rows and columns have a standard height and width. You can check on the height of any row and the width of any column by clicking its header (or any cell) to select it and

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

Multi-Cluster Interleaving on Paths and Cycles

Multi-Cluster Interleaving on Paths and Cycles Multi-Cluster Interleaving on Paths and Cycles Anxiao (Andrew) Jiang, Member, IEEE, Jehoshua Bruck, Fellow, IEEE Abstract Interleaving codewords is an important method not only for combatting burst-errors,

More information

Lesson 2 7 Graph Partitioning

Lesson 2 7 Graph Partitioning Lesson 2 7 Graph Partitioning The Graph Partitioning Problem Look at the problem from a different angle: Let s multiply a sparse matrix A by a vector X. Recall the duality between matrices and graphs:

More information

3rd grade students: 4th grade students: 5th grade students: 4.A Use the four operations with whole numbers to solve problems.

3rd grade students: 4th grade students: 5th grade students: 4.A Use the four operations with whole numbers to solve problems. 3rd grade students: 4th grade students: 5th grade students: 3.A Represent and solve problems involving multiplication and division. A.1 Interpret the factors and products in whole number multiplication

More information

COMP 465: Data Mining Still More on Clustering

COMP 465: Data Mining Still More on Clustering 3/4/015 Exercise COMP 465: Data Mining Still More on Clustering Slides Adapted From : Jiawei Han, Micheline Kamber & Jian Pei Data Mining: Concepts and Techniques, 3 rd ed. Describe each of the following

More information

Introduction. Inserting and Modifying Tables. Word 2010 Working with Tables. To Insert a Blank Table: Page 1

Introduction. Inserting and Modifying Tables. Word 2010 Working with Tables. To Insert a Blank Table: Page 1 Word 2010 Working with Tables Introduction Page 1 A table is a grid of cells arranged in rows and columns. Tables can be customized and are useful for various tasks such as presenting text information

More information

More Skills 11 Draw Tables and Convert Tables to Text. To complete this document, you will need the following file:

More Skills 11 Draw Tables and Convert Tables to Text. To complete this document, you will need the following file: CHAPTER 5 Word More Skills 11 Draw Tables and Convert Tables to Text Tables can be drawn or inserted into documents. As tables are created and modified, the size of the columns may need to be adjusted

More information

Polygon Pours and Copper Regions. Fills and Solid Regions. Modified by Admin on Nov 18, 2013

Polygon Pours and Copper Regions. Fills and Solid Regions. Modified by Admin on Nov 18, 2013 Polygon Pours and Copper Regions Old Content - visit altium.com/documentation Modified by Admin on Nov 18, 2013 A common requirement on a printed circuit board is large areas of copper. It could be a hatched

More information

Guide to WB Annotations

Guide to WB Annotations Guide to WB Annotations 04 May 2016 Annotations are a powerful new feature added to Workbench v1.2.0 (Released May 2016) for placing text and symbols within wb_view tabs and windows. They enable generation

More information

Tips and Techniques for Designing the Perfect Layout with SAS Visual Analytics

Tips and Techniques for Designing the Perfect Layout with SAS Visual Analytics SAS2166-2018 Tips and Techniques for Designing the Perfect Layout with SAS Visual Analytics Ryan Norris and Brian Young, SAS Institute Inc., Cary, NC ABSTRACT Do you want to create better reports but find

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

January 10-12, NIT Surathkal Introduction to Graph and Geometric Algorithms

January 10-12, NIT Surathkal Introduction to Graph and Geometric Algorithms Geometric data structures Sudebkumar Prasant Pal Department of Computer Science and Engineering IIT Kharagpur, 721302. email: spp@cse.iitkgp.ernet.in January 10-12, 2012 - NIT Surathkal Introduction to

More information

Scripting Tutorial - Lesson 9: Graphical Shape Numbers

Scripting Tutorial - Lesson 9: Graphical Shape Numbers Home TI-Nspire Authoring TI-Nspire Scripting HQ Scripting Tutorial - Lesson 9 Scripting Tutorial - Lesson 9: Graphical Shape Numbers Download supporting files for this tutorial Texas Instruments TI-Nspire

More information

A NEW DYNAMIC SINGLE-ROW ROUTING FOR CHANNEL ASSIGNMENTS

A NEW DYNAMIC SINGLE-ROW ROUTING FOR CHANNEL ASSIGNMENTS A NEW DYNAMIC SINGLE-ROW ROUTING FOR CHANNEL ASSIGNMENTS N Patra 1, B N B Ray 2, S P Mohanty 3 1 Research Scholar, PG Dept of Comp.Sc. & Application,Utkal University, Odisha,India 2 Sr. Lecture, PG Dept

More information

Microsoft Word 2010 : Tables

Microsoft Word 2010 : Tables Microsoft Word 2010 : Tables A table is a structure of vertical columns and horizontal rows. Each column and row will have a heading. Heading 1 Heading 2 At the intersection of each column and row is a

More information

Name: Block Score /36 Version: A

Name: Block Score /36 Version: A Name: _ Block Score /36 Version: A Surface Area & Volume Matching Match the correct term to each of the following descriptions. A term may be used more than once or not at all. a. edge h. net b. face i.

More information

Computational Geometry

Computational Geometry More on Voronoi diagrams 1 Can we move a disc from one location to another amidst obstacles? 2 Since the Voronoi diagram of point sites is locally furthest away from those sites, we can move the disc if

More information

With regards to bitonic sorts, everything boils down to doing bitonic sorts efficiently.

With regards to bitonic sorts, everything boils down to doing bitonic sorts efficiently. Lesson 2 5 Distributed Memory Sorting Bitonic Sort The pseudo code for generating a bitonic sequence: 1. create two bitonic subsequences 2. Make one an increasing sequence 3. Make one into a decreasing

More information

How to use the ruler, grid, guides, and the Align panel

How to use the ruler, grid, guides, and the Align panel How to use the ruler, grid, guides, and the Align panel Much of your job as a page designer is to place text and graphics on the page in a pleasing, organized way. Although you can do much of this placing

More information

Inserting a table plus all related tips on the table

Inserting a table plus all related tips on the table Inserting a table plus all related tips on the table Microsoft Word This would be the quickest way of inserting a table. 1. One click in the location where you wish to insert a table 2. Click the Insert

More information

Working with Plan Production ObjectsChapter1:

Working with Plan Production ObjectsChapter1: Chapter 1 Working with Plan Production ObjectsChapter1: The lessons in this chapter guide you through the processes of creating and working with plan production objects. Plan production objects include

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Shortest Path Search Algorithms with Heuristic and Bidirectional Searches. Marcelo Johann.

Shortest Path Search Algorithms with Heuristic and Bidirectional Searches. Marcelo Johann. Shortest Path Search Algorithms with Heuristic and Bidirectional Searches Marcelo Johann johann@inf.ufrgs.br www.inf.ufrgs.br/~johann Bidirectional Heuristic Shortest Path Search - Marcelo Johann - 2009

More information

The Topology of Bendless Orthogonal Three-Dimensional Graph Drawing. David Eppstein Computer Science Dept. Univ. of California, Irvine

The Topology of Bendless Orthogonal Three-Dimensional Graph Drawing. David Eppstein Computer Science Dept. Univ. of California, Irvine The Topology of Bendless Orthogonal Three-Dimensional Graph Drawing David Eppstein Computer Science Dept. Univ. of California, Irvine Graph drawing: visual display of symbolic information Vertices and

More information

Getting Started with Milestones Professional

Getting Started with Milestones Professional Create a new Schedule: Use the default template. Or Choose the Setup Wizard. (File/New). Or Choose a predesigned template. NEXT: Follow the tips below. Set the Schedule Start and End Dates: Click the Toolbar

More information

(a) (b) (c) Routing Blocks. Channels

(a) (b) (c) Routing Blocks. Channels A Unied Approach to Multilayer Over-the-Cell Routing Sreekrishna Madhwapathy, Naveed Sherwani Siddharth Bhingarde, Anand Panyam Dept. of Computer Science Intel Corporation Western Michigan University Hillsboro,

More information

Multicast Network Coded Flow in Grid Graphs

Multicast Network Coded Flow in Grid Graphs Multicast Network Coded Flow in Grid Graphs John Gormley and Eric Manley Department of Mathematics and Computer Science Drake University Des Moines, IA 50311 March 9, 014 Abstract Network coding, a relatively

More information

Encoding Techniques in Genetic Algorithms

Encoding Techniques in Genetic Algorithms Encoding Techniques in Genetic Algorithms Debasis Samanta Indian Institute of Technology Kharagpur dsamanta@iitkgp.ac.in 01.03.2016 Debasis Samanta (IIT Kharagpur) Soft Computing Applications 01.03.2016

More information