Chapter 6 Detailed Routing

Size: px
Start display at page:

Download "Chapter 6 Detailed Routing"

Transcription

1 hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 1

2 6 Detailed Routing System Specification ENTITY test is port a: in bit; end ENTITY test; rchitectural Design Functional Design and Logic Design Partitioning hip Planning ircuit Design Placement Physical Design lock Tree Synthesis DR LVS ER Physical Verification and Signoff Fabrication Packaging and Testing Signal Routing Timing losure hip VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 2

3 6 Detailed Routing Routing Multi-Stage Routing of Signal Nets Global Routing Detailed Routing Timing-Driven Routing Large Single- Net Routing Geometric Techniques oarse-grain assignment of routes to routing regions (hap. 5) Fine-grain assignment of routes to routing tracks (hap. 6) Net topology optimization and resource allocation to critical nets (hap. 8) Power (VDD) and Ground (GND) routing (hap. 3) Non-Manhattan and clock routing (hap. 7) VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 3

4 6 Detailed Routing The objective of detailed routing is to assign route segments of signal nets to specific routing tracks, vias, and metal layers in a manner consistent with given global routes of those nets Detailed routing techniques are applied within routing regions, such as channels (Sec. 6.3), switchboxes (Sec. 6.4), and global routing cells (gcells, Sec. 6.5) Detailed routers must account for manufacturing rules and the impact of manufacturing faults (Sec. 6.6) VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 4

5 6 Detailed Routing Global Routing Detailed Routing N 3 N 1 N 2 N 3 N 1 N 2 N 3 N 3 N 3 N1 N 3 N1 N 1 N2 N 1 N2 Horizontal Segment Vertical Segment Via VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 5

6 6.1 Terminology hannel and Switchbox Routing D D E E Horizontal hannel Tracks E D Vertical hannel Tracks VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 6

7 6.1 Terminology hannel Routing External Pad Power Rail Standard ell Row hannel VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 7

8 6.1 Terminology Two-Layer hannel Routing Three-Layer OT Routing OT: Over the cell D ell rea D ell rea Metal1 Metal2 Metal3 Via 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 8

9 6.1 Terminology olumns a b c d e f g 0 D Vertical Segment (ranch) Horizontal Segment (Trunk) hannel Height Tracks 0 Pin Locations 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 9

10 6.1 Terminology Horizontal onstraint ssumption: one layer for horizontal routing horizontal constraint exists between two nets if their horizontal segments overlap Horizontally constrained Horizontally unconstrained 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 10

11 6.1 Terminology Vertical onstraint vertical constraint exists between two nets if they have pins in the same column The vertical segment coming from the top must stop before overlapping with the vertical segment coming from the bottom in the same column Vertically constrained without conflict Vertically constrained with a vertical conflict 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 11

12 6.2 Horizontal and Vertical onstraint Graphs 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 12

13 6.2 Horizontal and Vertical onstraint Graphs The relative positions of nets in a channel routing instance can be modeled by horizontal and vertical constraint graphs These graphs are used to initially predict the minimum number of tracks that are required detect potential routing conflicts VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 13

14 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G S(b) = {,, } S(col) contains all nets that either (1) are connected to a pin in column col or (2) have pin connections to both the left and right of col Since horizontal segments cannot overlap, each net in S(col) must be assigned to a different track in column col S(col) represents the lower bound on the number of tracks in colum col; lower bound of the channel height is given by maximum cardinality of any S(col) VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 14

15 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G 0 D E F G 0 D 0 0 E D F G H E E F H 0 H G VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 15

16 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G S(a) S(b) S(c) S(d)S(e) S(f)S(g) S(h) S(i) S(j) S(k) 0 D E F G 0 D 0 0 E D F G H E E F H 0 H G VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 16

17 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G S(c) S(f)S(g) S(i) 0 D E F G 0 D 0 0 E D F G H S(c) S(f) S(g) S(i) G F H D E E E F H 0 H G VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 17

18 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G Lower bound on the number of tracks = 5 S(c) S(f) S(g) S(i) G F H D E VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 18

19 6.3.1 Horizontal onstraint Graphs olumn a b c d e f g h i j k 0 D E F G 0 D 0 0 E E F H 0 H G Graphical Representation G F D S(c) S(f) S(g) S(i) G F H D E H E VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 19

20 6.3.2 Vertical onstraint Graphs directed edge e(i,j) E connects nodes i and j if the horizontal segment of net i must be located above net j VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 20

21 6.3.2 Vertical onstraint Graphs 0 D E F G 0 D 0 0 E E F H 0 H G D G Vertical onstraint Graph (VG) E F Note: an edge that can be derived by transitivity is not included, such as edge (,) H VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 21

22 6.3.2 Vertical onstraint Graphs 0 Net splitting yclic conflict VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 22

23 6.3 hannel Routing lgorithms 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 23

24 6.3.1 Left-Edge lgorithm ased on the VG and the zone representation, greedily maximizes the usage of each track VG: assignment order of nets to tracks Zone representation: determines which nets may share the same track Each net uses only one horizontal segment (trunk) VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 24

25 6.3.1 Left-Edge lgorithm Input: channel routing instance R Output: track assignments for each net curr_track = 1 nets_unassigned = Netlist while (nets_unassigned!= Ø) VG = VG(R) ZR = ZONE_REP(R) SORT(nets_unassigned,start column) // start with topmost track // while nets still unassigned // generate VG and zone // representation // find left-to-right ordering // of all unassigned nets for (i =1 to nets_unassigned ) curr_net = nets_unassigned[i] if (PRENTS(curr_net) == Ø && // if curr_net has no parent (TRY_SSIGN(curr_net,curr_track)) // and does not cause // conflicts on curr_track, SSIGN(curr_net,curr_track) // assign curr-_net REMOVE(nets_unassigned,curr_net) curr_track = curr_track + 1 // consider next track 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 25

26 6.3.1 Left-Edge lgorithm Example 0 D E F G 0 D I J J E E F H I H G I VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 26

27 6.3.1 Left-Edge lgorithm Example 0 D E F G 0 D I J J E E F H I H G I 1. Generate VG and zone representation D J G E I G H I H F D J E F VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 27

28 6.3.1 Left-Edge lgorithm Example D J G E I G H I H F D J E F 2. onsider next track 3. Find left-to-right ordering of all unassigned nets If curr_net has no parents and does not cause conflicts on curr_track assign curr_net curr_track = 1: Net Net J 4. Delete placed nets (, J ) in VG and zone represenation VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 28

29 6.3.1 Left-Edge lgorithm Example D G E I G H I H F D E F 2. onsider next track 3. Find left-to-right ordering of all unassigned nets If curr_net has no parents and does not cause conflicts on curr_track assign curr_net curr_track = 2: Net D 4. Delete placed nets (D ) in VG and zone representation VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 29

30 6.3.1 Left-Edge lgorithm Example G E I G H I H F E F 2. onsider next track 3. Find left-to-right ordering of all unassigned nets If curr_net has no parents and does not cause conflicts on curr_track assign curr_net curr_track = 3: Net E Net G 4. Delete placed nets (E, G ) in VG and zone representation VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 30

31 6.3.1 Left-Edge lgorithm Example I H I H F F 2. onsider next track 3. Find left-to-right ordering of all unassigned nets If curr_net has no parents and does not cause conflicts on curr_track assign curr_net curr_track = 4: Net Net F Net I 4. Delete placed nets (, F, I ) in VG and zone representation VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 31

32 6.3.1 Left-Edge lgorithm Example H H 2. onsider next track 3. Find left-to-right ordering of all unassigned nets If curr_net has no parents and does not cause conflicts on curr_track assign curr_net curr_track = 5: Net Net H 4. Delete placed nets (, H ) in VG and zone representation VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 32

33 6.3.1 Left-Edge lgorithm Example 0 D E F G 0 D I J J curr_track = E E F H I H G I Routing result 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 33

34 6.3.2 Dogleg Routing Improving left-edge algorithm by net splitting Two advantages: lleviates conflicts in VG Number of tracks can often be reduced Net splitting 0 Dogleg VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 34

35 6.3.2 Dogleg Routing onflict alleviation using a dogleg Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 35

36 6.3.2 Dogleg Routing Track reduction using a dogleg VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 36

37 6.3.2 Dogleg Routing Splitting p-pin nets (p > 2) into p 1 horizontal segments Net splitting occurs only in columns that contain a pin of the given net fter net splitting, the algorithm follows the left-edge algorithm Net splitting 0 0 VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 37

38 6.3.2 Dogleg Routing hannel routing problem VG without net splitting 0 0 hannel routing solution Net splitting 1 VG with net splitting 0 0 hannel routing solution 2011 Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 38

39 6.4 Switchbox Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 39

40 6.4 Switchbox Routing E E D E D Fixed dimensions and pin connections on all four sides Defined by four vectors TOP, OT, LEFT, RIGHT Switchbox routing algorithms are usually derived from (greedy) channel routing algorithms VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 40

41 6.4 Switchbox Routing R = {0, 1, 2,, 8} x {0, 1, 2,, 7} TOP = (1, 2,, 7) = [0, D, F, H, E,, ] OT = (1, 2,, 7) = [0, 0, G, H,,, H] LEFT = (1, 2,, 6) = [, 0, D, F, G, 0] RIGHT = (1, 2,, 6) = [, H,,, E, ] olumn a 0 b c d e f g D F H E 0 D F H E Track G F D 0 E H? 0 G F D 0 E H 0 0 G H H 0 0 G H H VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 41

42 6.4 Switchbox Routing Example TOP = (1, 2,, 7) = [0, D, F, H, E,, ] OT = (1, 2,, 7) = [0, 0, G, H,,, H] LEFT = (1, 2,, 6) = [, 0, D, F, G, 0] RIGHT = (1, 2,, 6) = [, H,,, E, ] a 0 olumn b c d e f g D F H E Track G F D 0 E H 0 0 G H H VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 42

43 6.4 Switchbox Routing Example TOP = (1, 2,, 7) = [0, D, F, H, E,, ] OT = (1, 2,, 7) = [0, 0, G, H,,, H] LEFT = (1, 2,, 6) = [, 0, D, F, G, 0] RIGHT = (1, 2,, 6) = [, H,,, E, ] olumn a 0 b c d e f g D F H E 0 D F H E Track G F D 0 E H 0 G F D 0 E H 0 0 G H H 0 0 G H H VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 43

44 6.5 Over-the-ell Routing lgorithms 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 44

45 6.5 Over-the-ell Routing lgorithms Standard cells are placed back-to-back or without routing channels Metal layers are usually represented by a coarse routing grid made up of global routing cells (gcells) ack to back Without routing channels VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 45

46 6.5 Over-the-ell Routing lgorithms Standard cells are placed back-to-back or without routing channels Metal layers are usually represented by a coarse routing grid made up of global routing cells (gcells) gcells Metal3 Metal1 (ells: ack to back) Metal2 (ell ports) Metal4 etc. VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 46

47 6.5 Over-the-ell Routing lgorithms Standard cells are placed back-to-back or without routing channels Metal layers are usually represented by a coarse routing grid made up of global routing cells (gcells) gcells Metal3 Metal1 Metal2 (ell ports) (Without routing channels) Metal4 etc. VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 47

48 6.5 Over-the-ell Routing lgorithms Standard cells are placed back-to-back or without routing channels Metal layers are usually represented by a coarse routing grid made up of global routing cells (gcells) Layers that are not obstructed by standard cells are typically used for over-the-cell (OT) routing Nets are globally routed using gcells and then detail-routed VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 48

49 6.5 Over-the-ell Routing lgorithms Three-layer approach Metal3 is used for over-the-cell (OT) routing Metal1 (ells) Metal3 Metal2 (Ports) VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 49

50 6.5 Over-the-ell Routing lgorithms Three-layer approach Metal3 is used for over-the-cell (OT) routing ell rea D Metal3 Metal2 Metal1 ell rea VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 50

51 GND Standard cell (only ports shown) Metal1 Metal2 Metal3 Ports in Metal2 OT routing in Metal3 hannel hannel routing in Metal1, Metal2 and Metal Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 51

52 6.6 Modern hallenges in Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs Horizontal onstraint Graphs Vertical onstraint Graphs 6.3 hannel Routing lgorithms Left-Edge lgorithm Dogleg Routing 6.4 Switchbox Routing Terminology Switchbox Routing lgorithms 6.5 Over-the-ell Routing lgorithms OT Routing Methodology OT Routing lgorithms 6.6 Modern hallenges in Detailed Routing VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 52

53 6.6 Modern hallenges in Detailed Routing Manufacturers today use different configurations of metal layers and widths to accommodate high-performance designs Detailed routing is becoming more challenging, for example: Vias connecting wires of different widths inevitably block additional routing resources on the layer with the smaller wire pitch dvanced lithography techniques used in manufacturing require stricter enforcement of preferred routing direction on each layer VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 53

54 6.6 Modern hallenges in Detailed Routing Representative layer stacks for 130 nm - 32 nm technology nodes W2 U2 W1 U1 E2 M6 M5 M4 M3 M2 M1 2 1 M5 M4 M3 M2 M1 E2 E M4 M3 M2 M M4 M3 M2 M1 130 nm 90 nm 65 nm 45 nm 32 nm E1 E M5 M4 M3 M2 M Springer Verlag VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 54

55 6.6 Modern hallenges in Detailed Routing Semiconductor manufacturing yield is a key concern in detailed routing Redundant vias and wiring segments as backups (via doubling and non-tree routing) Manufacturability constraints (design rules) become more restrictive Forbidden pitch rules prohibit routing wires at certain distances apart, but allows smaller or greater spacings Detailed routers must account for manufacturing rules and the impact of manufacturing faults Via defects: via doubling during or after detailed routing Interconnect defects: add redundant wires to already routed nets ntenna-induced defects: detailed routers limit the ratio of metal to gate area on each metal layer VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 55

56 Summary of hapter 6 ontext Detailed routing is invoked after global routing Usually takes about as much time as global routing For heavily congested designs can take much longer Generates specific track assignments for each connection Tries to follow "suggestions" made by global routing, but may alter them if necessary small number of failed global routed (disconnected, overcapacity) can be tolerated More affected by technology & manufacturing constraints than global routing Must satisfy design rules VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 56

57 Summary of hapter 6 Routing Regions reaks down the layout area into regions hannels have net terminals (pins) on two sides Switch-boxes have terminals on four sides hannels are joined at switchboxes When the number of metal layers is >3, use over-the-cell (OT) routing Divide the layout region into a grid of global routing cells (gcells) OT routing makes the locations of cells, obstacles and pins less important hannel and switchbox routing can be used during OT routing when upper metal layers are blocked (by wide buses, other wires, etc.) The capacity of a region is limited by the number of tracks it contains hannels, switchboxes, gcells VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 57

58 Summary of hapter 6 lgorithms and Data Structures Horizontal and vertical constraint graphs capture constraints that must be satisfied by valid routes Simplest algorithms for detailed routing are greedy Every step satisfies immediate constraints with minimal routing cost Use as few bends as possible (doglegs are used when additional bends are needed) Very fast, do a surprisingly good job in many cases Insufficient for congested designs Switchbox routing algorithms are usually derived from channel routing algorithms Strategy 1: Do not create congested designs and rely on greedy algorithms Strategy 2: ccommodate congested designs and develop stronger algorithms VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 58

59 Summary of hapter 6 Modern hallenges Variable-pitch wire stacks Not addressed in the literature until 2008 Satisfying more complex design rules Min spacing between wires and devices Forbidden pitch rules ntenna rules Soft rules Do not need to be satisfied an improve yield by decreasing the probability of defects Redundant vias In case some vias are poorly manufactured Redundant wires In case some wires get disconnected VLSI Physical Design: From Graph Partitioning to Timing losure hapter 6: Detailed Routing 59

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

Channel & Switchbox Routing

Channel & Switchbox Routing Channel & Switchbox Routing channel routing Detailed routing switchbox routing Routing Models Grid-based model: A grid is super-imposed on the routing region. Wires follow paths along the grid lines. Gridless

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 7 Specialized Routing Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 7 Specialized Routing 7. Introduction to Area Routing 7. Net Ordering in Area Routing 7.3 Non-Manhattan

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 3 Chip Planning VLSI Physical Design: From Graph Partitioning to Timing Closure Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Effects of Specialized Clock Routing on Clock Tree Timing, Signal Integrity, and Routing Congestion

Effects of Specialized Clock Routing on Clock Tree Timing, Signal Integrity, and Routing Congestion Effects of Specialized Clock Routing on Clock Tree Timing, Signal Jesse Craig IBM Systems & Technology Group jecraig@us.ibm.com Denise Powell Synopsys, Inc. dpowell@synopsys.com ABSTRACT Signal integrity

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Layout DA (Physical Design)

Layout DA (Physical Design) Layout DA (Physical Design) n Floor Planning n Placement and Partitioning n Global Routing n Routing n Layout Compaction 1 Routing n Types of Local Routing Problems n Area Routing u Lee s Algorithm n Channel

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

ECE260B CSE241A Winter Routing. Website:

ECE260B CSE241A Winter Routing. Website: ECE260B CSE241A Winter 2007 Routing Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Routing 1 Homework Questions, January 24, 2007 Placement Lecture (Lecture #5) Question 1. Slide

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

Niyati Shah Department of ECE University of Toronto

Niyati Shah Department of ECE University of Toronto Niyati Shah Department of ECE University of Toronto shahniya@eecg.utoronto.ca Jonathan Rose Department of ECE University of Toronto jayar@eecg.utoronto.ca 1 Involves connecting output pins of logic blocks

More information

c 2011 Yun Wei Chang

c 2011 Yun Wei Chang c 2011 Yun Wei Chang SINGLE-LAYER BUS ROUTING FOR HIGH-SPEED BOARDS BY YUN WEI CHANG THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and Computer

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter Netlist and System Partitioning Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu Chapter Netlist and System Partitioning. Introduction. Terminology. Optimization Goals. Partitioning

More information

AN 567: Quartus II Design Separation Flow

AN 567: Quartus II Design Separation Flow AN 567: Quartus II Design Separation Flow June 2009 AN-567-1.0 Introduction This application note assumes familiarity with the Quartus II incremental compilation flow and floorplanning with the LogicLock

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs Vaughn Betz Jonathan Rose Alexander Marquardt

More information

Digital VLSI Design. Lecture 9: Routing

Digital VLSI Design. Lecture 9: Routing Digital VLSI Design Lecture 9: Routing Semester A, 018-19 Lecturer: Dr. Adam Teman January 5, 019 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from sources

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Fishbone: A Block-Level Placement and Routing Scheme

Fishbone: A Block-Level Placement and Routing Scheme Fishbone: Block-Level Placement and Routing Scheme Fan Mo EECS, UC Berkeley Robert K. Brayton EECS, UC Berkeley Cory Hall, UC Berkeley 57 Cory Hall, UC Berkeley Berkeley, C97 Berkeley, C97-5-6-6 -5-6-98

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Sungmin Bae, Hyung-Ock Kim, Jungyun Choi, and Jaehong Park. Design Technology Infrastructure Design Center System-LSI Business Division

Sungmin Bae, Hyung-Ock Kim, Jungyun Choi, and Jaehong Park. Design Technology Infrastructure Design Center System-LSI Business Division Sungmin Bae, Hyung-Ock Kim, Jungyun Choi, and Jaehong Park Design Technology Infrastructure Design Center System-LSI Business Division 1. Motivation 2. Design flow 3. Parallel multiplier 4. Coarse-grained

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

ECE260B CSE241A Winter Placement

ECE260B CSE241A Winter Placement ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng VLSI Design Flow and Physical

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

DRE: A Framework for Early Co-Evaluation of Design Rules, Technology Choices, and Layout Methodologies

DRE: A Framework for Early Co-Evaluation of Design Rules, Technology Choices, and Layout Methodologies 1 DRE: A Framework for Early Co-Evaluation of Design Rules, Technology Choices, and Layout Methodologies Rani S. Ghaida, Student Member, IEEE, and Puneet Gupta, Member, IEEE Abstract Design rules have

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Effects of FPGA Architecture on FPGA Routing

Effects of FPGA Architecture on FPGA Routing Effects of FPGA Architecture on FPGA Routing Stephen Trimberger Xilinx, Inc. 2100 Logic Drive San Jose, CA 95124 USA steve@xilinx.com Abstract Although many traditional Mask Programmed Gate Array (MPGA)

More information

Placement Strategies for 2.5D FPGA Fabric Architectures

Placement Strategies for 2.5D FPGA Fabric Architectures Placement Strategies for 2.5D FPGA Fabric Architectures Chirag Ravishankar 3100 Logic Dr. Longmont, Colorado Email: chiragr@xilinx.com Dinesh Gaitonde 2100 Logic Dr. San Jose, California Email: dineshg@xilinx.com

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

K. Keutzer. A. Kahng

K. Keutzer. A. Kahng Routing in Integrated Circuits A. Kahng K. Keutzer A. R. Newton ECE 260B CSE 241A /UCB EECS 244 1 Class News Wednesday: Presentation of research topics in placement, routing, and timing Each group will

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID:

EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID: Group Formation Group member: Zhou Zhao, Raj Shah EE680 Project Report Final 05/05/2010 Zhou Zhao USC ID: 8710-5983-10 Job Assignment My job is to design a intelligent FPGA intra router, which has following

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

ESE (ESE534): Computer Organization. Today. Soft Errors. Soft Error Effects. Induced Soft Errors. Day 26: April 18, 2007 Et Cetera

ESE (ESE534): Computer Organization. Today. Soft Errors. Soft Error Effects. Induced Soft Errors. Day 26: April 18, 2007 Et Cetera ESE680-002 (ESE534): Computer Organization Day 26: April 18, 2007 Et Cetera 1 Today Soft Error Data Energy and Faults Defect Tolerance and FPGAs Big Picture Review this Course Things we didn t talk about

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on Digital IC- Project 1 Oskar Andersson Outline Backend ASIC Design flow (Physical Design) General steps Input files Floorplanning Placement ClockTree- synthesis Rou=ng Typical Backend Design Flow Synthesis

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

CMPEN411 Memory Chip Design Project Report

CMPEN411 Memory Chip Design Project Report THE PENNSYLVANIA STATE UNIVERSITY CMPEN411 Memory Chip Design Project Report RAM64X6,SERIAL RAM 64X4 and DPRAM64X4 Qianqian Zhang 3/9/2012 A chip fabricated through MOSIS 1 Table of Content Chapter 1 Introductory...

More information