Full-IC manufacturability check based on dense silicon imaging

Size: px
Start display at page:

Download "Full-IC manufacturability check based on dense silicon imaging"

Transcription

1 Science in China Ser. F Information Sciences 2005 Vol.48 No Full-IC manufacturability check based on dense silicon imaging YAN Xiaolang, SHI Zheng, CHEN Ye, MA Yue & GAO Gensheng Institute of VLSI Design, Zhejiang University, Hangzhou , China Correspondence should be addressed to Chen Ye ( chenye@vlsi.zju.edu.cn) Received January 10, 2005 Abstract With the increased design complexities brought in by applying different Reticle Enhancement Technologies (RETs) in nanometer-scale IC manufacturing process, post-ret sign-off verification is quickly becoming necessary. By introducing innovative algorithms for lithographic modeling, silicon imaging and yield problem locating, this paper describes a new methodology of IC manufacturability verification based on Dense Silicon Imaging (DSI). Necessity of imaging based verification is analyzed. Existing post-ret verification methods are reviewed and compared to the new methodology. Due to the greatly improved computational efficiency produced by algorithms such as the ~16*log2N/log2M times faster Specialized FFT, DSI based manufacturability checks on full IC scale, which were impractical for applications before, are now realized. Real verification example has been demonstrated and studied as well. Keywords: RET, OPC, PSM, design for manufacturability, photolithography simulation. DOI: /04yf0115 State of the art IC manufacturing process is witnessing the situation that the wavelength of stepper is more than twice the minimum feature size (193 nm wavelength vs. 90 nm/65 nm/45 nm feature size). According to the International Technology Roadmap for Semiconductors, this reality of sub-wavelength lithography will continue for the next several technology nodes. Optical Proximity Effect (OPE), as a technical terminology, usually refers to all of the undesired pattern vs. layout distortions caused by mask making, optical lithography and etching. To compensate OPE for better manufacturability, various Reticle Enhancement Technology (RET) methods based on layout correction and mask phase assigning have emerged. Consequently, Optical Proximity Correction (OPC), Phase-Shifting Masks (PSM) and Scattering Bars Insertion (SBI) become three basic categories of RETs. In state of the art IC designs, these RET methods are used in concert to maximally improve the quality of pattern transfer under environment with OPE. The ever increasing complexity of RET design methodology brings about a dramatic increase in the final layout complexity and mask making expense. This reality demands us to perform sign-off checks for various types of potential errors to ensure the

2 534 Science in China Ser. F Information Sciences 2005 Vol.48 No full correctness of post-ret layouts before mask writing [1 3]. Among all these types of manufacturability checks, some have to be carried out by using information from Dense Silicon Imaging (DSI). In Fig. 1(a), we show a small area of original 90 nm design with corresponding post-opc layouts and an inserted scattering bar. The mask type is attenuated PSM (attpsm). Simulated contours by DSI are shown in Fig. 1(b). The dotted lines indicate the surely printable areas (threshold = 0.3) while the solid lines indicate the contours for possibly printable areas (threshold = 0.15). Although the original layout is compliant with geometric Design Rule Check (DRC) and the desired features are enhanced by RET modifications, islands of nearly printable area also arise which might be printed with a slight shifting of process window. These undesired features would probably cause inaccurate circuit characteristics or even bridging malfunction [4]. Besides, intensity contrasts on some feature edges are still low after applying RETs, which also increase manufacture variability. Fig. 1. (a) Pre-RET and post-ret layouts; (b) simulation result The example in Fig. 1 shows the importance of paying close attention to environment disturbance when applying RET corrections as well as the worth of performing manufacturability verifications based on DSI as a sign-off step before mask making. Simply because a practical full-chip RET scheme is unable to take care of all side effects of corrections, sign-off verification step is highly needed. In a recently published paper [5], we have summarized the major limitations of prevailing RET schemes, and described the architecture of an effective sign-off verification tool based on Sparse Aerial Imaging (SAI). However, in this paper, we focus on sign-off verifications based on DSI, with the emphases on algorithms and applications for putting such verifications into practice. In the following, section 1 describes conventional RET and verification methodology as well as the lithographic modeling framework we choose. In section 2, key algorithms for full-chip scale DSI, including kernel expansion, element block partition, fast transformation of element block and a specialized 2-D FFT scheme are presented. Section 3 describes an application of full-ic manufacturability check based on our DSI algorithms in an experiment with the presence of low contrast area. Conclusion is given in section 4. 1 Modeling and post-ret verification methodology The three main components of RET, namely OPC, PSM and SBI, are well studied in many recent publications. To apply RETs, a physical model describing the target process

3 Full-IC manufacturability check based on dense silicon imaging 535 needs to be calibrated to real wafer data. Applying alternating PSM (altpsm) needs an additional step of non-conflicting phase assignment. After that, critical layout features are segmented and corrected according to criteria either from local pattern matching (Rule-based OPC) or from silicon contour simulation (Model-based OPC). Scattering bars and dummy features for CMP purpose, if any, are usually inserted before the correction step [6,7]. A critical issue for applying RET and post-ret verification is to have a fast enough simulation engine which can predict the mask to silicon pattern transfer in reasonable accuracy. The vast physical complexities of OPE, due to many process steps from mask writing to etching, make this a daunting task. Nevertheless, except for process steps designed for intentional pattern distortion such as over-etching, optical lithography has been identified as the major source of OPE, while other sources can be approximated by some first-order linear filters within acceptable accuracy. In practice, OPE is usually characterized by a semi-empirical bi-linear model which uses Hopkins Equation containing empirically fitted parameters to calculate Pseudo-Intensities. Final silicon patterns are then determined by constant or variable thresholding on the Pseudo-Intensities [8 10]. This imaging procedure, dependent not on pure optical aerial intensities but on Pseudo-Intensities describing most OPE sources, is termed Silicon Imaging throughout this paper. Hopkins Equation can be expressed in space domain or frequency domain. Eq. (1) lists both of the forms, where I (x, y) and I (f, g) are Pseudo-Intensities, F(x, y) and F(f, g) are mask transmissions. The mask-independent Transmission Cross-Coefficients which describe the whole lithographic flow, are TCC(x 1, y 1 ; x 2, y 2 ) and TCC(f 1, g 1 ; f 2, g 2 ) in space domain and frequency domain, respectively (1a) I( x, y) = F( x, y ) F( x, y ) TCC( x x, y y ; x x, y y ) dx dy dx dy, (1b) I( f, g) = F( f, g ) F( f + f, g + g) TCC( f, g ; f + f, g + g) df dg, 2 i (2) i I( xy, ) = ( Fxy (, ) K0 ( xy, )). Since feature edges are sparsely distributed in a layout, space domain calculation is preferred both by model characterization and OPC procedures. For the kernel-based convolution [5,8,11,12] method listed in eq. (2), a set of 2-D kernels {K0 i } are generated. The sum of the products of these kernels is an approximation to the 4-D transferring function of the bi-linear system. The intensity of one spatial point is calculated by square sum of the convolutions of mask and these kernels. In Table 1, more than 400 test points measured from a real 0.13 μm process are compared to simulation results from our improved kernel-based model calibrated to this process. Relative errors on test points are within the range of +/ 10%, which convincingly suggests the effectiveness of the whole modeling methodology.

4 536 Science in China Ser. F Information Sciences 2005 Vol.48 No Table 1 Results by calibrated modeling for a 0.13 μm poly layer process Average prediction error /nm Maximum prediction error /nm Standard deviation of errors /nm Calibrated model-line Calibrated model-butt Total measured test points 431 This modeling and intensity computing approach, which is now used in OPC tools for edge-based simulation and manipulation, also affects the methodology of post-ret verification. Currently, a practical post-ret verification flow typically employs a mixture of methods such as DRC based on RET-aware geometrical comparison and ORC (Optical Rule Check) based on sparse points imaging. This mixed method has shown great advantages in finding post-ret problems on specific sites such as MOSFET channels and poly-si line-ends. Tools fully dedicated to doing this kind of feature-centric verifications, which may include channel distortion, contact enclosure and many other items on the checklist, have appeared recently [2,5,13]. On the other hand, feature-centric verification does not handle well certain kinds of manufacturability problems such as what have been shown in Fig. 1(b). For layouts corrected by a combination of OPC, PSM and especially SBI, many small size features are added which may introduce undesirable effects not being easily detected by sparse points imaging method without significant performance penalty. Consequently, even though large portions of the imaged points might be irrelevant to manufacturability problems, a full-chip verification based on DSI is necessary to locate such problematic areas. In fact, methods of Dense Aerial Imaging (DAI) were studied intensively before the emergence of Sparse Aerial Imaging methods. The implementations in space domain or frequency domain can be found in simulators such as SPLAT [14 17]. Certain simulation speed has been achieved by using conventional accelerating algorithms such as FFT in these methods [15 17]. In the next section, a significantly improved scheme of fast DSI, which makes full-chip scale imaging practical, is introduced with most of its key algorithms. 2 Algorithms for full-chip dense imaging A set of convolution kernels {K0 i } characterized for sparse points imaging as in eq. (2), which describe the pattern transferring characteristic of a specific litho-flow in a certain degree of accuracy, are assumed to be ready before our dense points silicon imaging. By using these kernels, our scheme can have good compatibility with kernelbased method in prevailing OPC and feature-centric verification tools. 2.1 Building TCC in frequency domain The new imaging method is based on frequency domain computations compliant with eq. (1b) to exploit the simplicity of multiplication in frequency domain in comparison with convolution in space domain. Frequency domain TCC in eq. (1b) can be rebuilt by

5 Full-IC manufacturability check based on dense silicon imaging 537 the calibrated convolution kernels, as listed in eq. (3), where FT[ ] denotes Fourier Transform. 2.2 Kernel expansion * T i i (3) i TCC( f, g ; f, g ) = ( FT[ K0 ( x, y)]) ( FT[ K0 ( x, y)]). In practice, convolution kernels are always space-limited with a squared radius denoted as R0. This certainty implies that mask patterns within a 2R0 2R0 square should have influences on intensity of the center point, while patterns outside this square have no impact at all. Frequency domain method, in its nature, is a periodic signal analysis method, and requires the mask patterns to be periodically repeated in space. To accurately evaluate intensities of an L L area, a spatial period of at least L+2R0 is needed, as illustrated in Fig. 2. In our new algorithm, a to-be-imaged square (To-be-Imaged Block, TIB) with edge length L=2R0 is chosen. A new system determined by a set of new convolution kernels {K1 i } with 2R0 as the radius is thus constructed, where {K1 i } are derived from the previous {K0 i } set by spatial expansion. A frequency domain TCC reflecting the introduction of the new system can be built by kernel sets {K1 i } in the same way as of eq. (3). Fig. 2. Periodic mask to image an L L block in frequency domain. Up to this point, to calculate intensities of a 2R0 2R0 area using frequency domain method without perceptible inconsistency with spatially convoluted results, a 4R0 4R0 mask window will be spatially repeated, and the corresponding mask Fourier Transform should be calculated before further steps. One may question about the efficiency because only the 2R0 2R0 TIB in center is useful for every 4R0 4R0 window repeating. This question will be answered in the following sub-sections. A large layout can be partitioned into many 2R0 2R0 TIBs, and this forms the basis of full-chip DSI. 2.3 Fourier transform of element block Computation of Fourier Transform of a 4R0 4R0 mask window begins with a procedure of polygon clipping on this region, and then a 2-D Fourier Transformation is performed on the clipped graphics. However, as illustrated in Fig. 3, two consecutively imaged TIBs, B1 and B2, have 8 common influencing sub-blocks, namely b3, b4, b7, b8, b11, b12, b15 and b16. Hence the computational redundancy should be able to be eliminated. Here we name every R0 R0 sub-block in Fig. 3 an Element Block (EB). The

6 538 Science in China Ser. F Information Sciences 2005 Vol.48 No Fig. 3. Share element blocks in a pipelined style. Fourier Transforms of 16 EBs within a 4R0 4R0 mask window are calculated and stored, and subsequently they can be re-used by 8 neighboring TIBs by simple kπ/2 space shifting. By this method, mask patterns clipped within any EB are only necessary to be transformed one single time; reuse of the transformed result requires only sign changes. Moreover, post-ret mask patterns can still be easily decomposed into rectangles and 45-degree right triangles with positive/negative transmissions [12] whose spectra are analytic sums of simple sinc-like functions. For example, a rectangle with point (x 0, y 0 ) on its bottom-left and (x 1, y 1 ) on top-right has Fourier Transform F(u,v) expressed in eq. (4b), where u and v are 2-D frequencies. P(u, v) = (e -2πjux1 e -2πjux0 )*(e -2πjvy1 e -2πjvy0 ), F(u, v) = C * 1/u * 1/v * P(u, v). Since lithographic process is band-limited, the needed harmonics M*M of each rectangle or triangle to be processed are not necessary at all to be many. Using look-up table (LUT) containing 2-D products of sine values on discrete points with the interval of minimum mask resolution, P(u,v) in eq. (4a) can be quickly computed by looking up the table through periodic indexing. After all P(u,v) of rectangles in an EB are summed, one multiplication of 1/u*1/v is performed for each discrete (u,v) pair. Processing of 45-degree right triangle can follow the same routine, but factor of 1/(u v) would ask for additional processing. Overall, for an EB which contains N decomposed rectangles and 45-degree right triangles and is to be transformed into M*M harmonics, (3~4)*N*M*M of table look-up operations and 3*M*M of (u,v) pair multiplications are totally needed in our new method, which is several orders faster than traditional directly-computing methods. 2.4 A specialized 2-D FFT scheme The frequency spectra of Pseudo-Intensities on a 4R0 4R0 area can be calculated according to eq. (1b) and other algorithms presented in previous parts of section 2. 2-D Inverse DFT should be used afterwards to get intensities in space domain. For each im- (4a) (4b)

7 Full-IC manufacturability check based on dense silicon imaging 539 aged window, the computation is expressed as a 2-D DFT with M*M inputs and N*N outputs, where M*M is the total harmonic number and N*N is the number of sample points in this area. The number M is determined by system bandwidth. In this case, M=4R0*NA*(1+sigma)/lambda, where NA is the numerical aperture of optical system, sigma is the partial coherence factor of illumination, and lambda the wavelength. Fast DFT approaches, especially FFT, were actually adopted by some authors in previous work on dense aerial imaging. The possibility of applying interpolation was also considered to get finer image on some spots from only M*M outputs [15 17]. In general, to use conventional zero-padding FFT to image a dense point array of size N*N, the complexity is N*N*log 2 N. Since M is typically much smaller than N, we can modify this FFT method a little to compute the over-sampled N*N outputs more quickly. In such an approach, the N*N outputs can be computed by M*M-to-M*M FFTs for (N*N)/(M*M) times totally. The complexity of this method for computing over-sampled signal is N*N*log 2 M. The speed for computing each TIB is critical because the Inverse Fourier Transforms of all partitioned TIBs occupy most of the computations for DSI of one chip layout. In the algorithmic model stated previously, we find three properties being very useful for further acceleration on each TIB: i) According to eq. (1b) and eq. (3), I(f, g)=i*( f, g), so I(x, y) is always real. ii) The 2-D DFT has M*M inputs and N*N outputs where M is much smaller than N, so this is an over-sampling case in 2-D. iii) For each window of size N*N, the desired TIB is actually the N/2*N/2 area in the center of the window. Assume there are two frequency domain signals F 1 (k) and F 2 (k), the inverse DFTs of these two signals are f 1 (n) and f 2 (n) as listed in eq. (5a). If both f 1 (n) and f 2 (n) are real, a new signal g(n), whose real part is f 1 (n) and the imaginary part is f 2 (n), can be computed by only one N-point DFT as in eq. (5b). Extending this method to 2-D case, every two TIBs on the wafer can be computed together as one TIB with complex intensities. N 1 N 1 nk 1 = 1 N 2 = 2 k= 0 k= 0 N f ( n) F( k) W, f ( n) F ( k) W, nk (5a) N 1 gn ( ) = f( n) + jf( n) = ( F( k) + jf( k)) W k = 0 We now consider the over-sampling 2-D DFT given in Fig. 4. For explanation purpose, the M*M inputs and the desired N/2*N/2 outputs are all placed at the top-left corner. The Fourier transformation of a 2-D N*N array could be divided into N horizontal nk N (5b)

8 540 Science in China Ser. F Information Sciences 2005 Vol.48 No Fig. 4. The specialized over-sampling 2-D DFT. and N vertical 1-D N-point DFTs. But for the original 2-D array in Fig. 4, most of its elements are padded zeros, while the desired outputs are only those N/2*N/2 points on the upper corner. An improved algorithm for computing this 2-D DFT, which is made up of two successive steps 1) and 2), is thus devised and illustrated in Fig. 5. This algorithm requires only (M+N/2) times of 1-D N-point DFT, and compared to 2N times in standard 2-D DFT, the speed could be nearly 4 times faster. Fig D DFTs pm < rpws tjem pm N/2 columns. Moreover, each 1-D N-point DFT in Fig. 5 has special properties that can be exploited further. First, the inputs of each N-point DFT, no matter whether horizontal or vertical, are all zeros except the first M points at one end. Second, for the N outputs of each DFT, only the first half N/2 points are of our interest. We have designed another algorithmic step to fully take advantage of these properties. Suppose there are two N-point DFTs with such special properties. The inputs of the two DFTs are X(m) and Y(m) with {m = 0,, M 1}, while the outputs are x(n) and y(n) with {n = 0,, N 1}, respectively. If we can construct a new input signal {Z(k), k = 0,, 2M 1} so that its time domain counterpart {z(n), n = 0,, N 1} is composed of half period of x(n) and half period of y(n), then the costs of computing both x(n) and y(n) can be halved. A linear system for building such a new signal z(n) is illustrated in Fig. 6. The low-pass filter and high-pass filter in Fig. 6 are implemented by two M-order FIR filters. To compute Z(k) which has totally 2M items, two 2M-point IFFTs are applied to X(m) and Y(m) respectively, then one 2M-point FFT is applied to the filtered sum.

9 Full-IC manufacturability check based on dense silicon imaging 541 Fig. 6. Building Z(k), the Fourier transform of a new signal z(n), from X(m) and Y(m). To sufficiently reduce undesired effects such as gain ripple and especially aliasing, the two filters should be designed properly, where the minimum stop-band leakage and uniform gain on pass-band are the major concerns. In Fig. 7, the frequency responses of two designed filters, namely LPF and HPF, are illustrated. Although ideal switching is not achievable by an FIR filter, these two specific filters do have small aliasing between them (<1% on stop band) and unit-gain on most of the pass-band points (<1% error from 1.0). Since the switching characteristic of FIR filter is not ideal, each transition edge of the filters may occupy P points from the total N points being computed. Hence, for z(n) element corresponding to each edge point where the gain is less than 1, a rescaling adjustment is needed. However, for a small number of points close to the stop-band, which are illustrated in Fig. 7 as totally Q points for one edge, the rescaling may enlarge the aliasing error as well. To prevent this from happening, these 4*Q points should be calculated from X(m) or Y(m) directly instead of from Z(k). Calculating Q points out of N Fig. 7. Frequency responses of the LPF and HPF in Fig. 6.

10 542 Science in China Ser. F Information Sciences 2005 Vol.48 No points from M inputs, where Q and M are much smaller than N, standard DFT approach needs 8*Q*M floating operations, which, however, could still be greatly reduced by algorithms described in ref. [18]. Following the filtering and combining algorithm above, the original two M-to-N DFTs can be computed by one 2M-to-N DFT along with three 2M-to-2M DFTs and a few computations on transition edges. If we consider the number of floating operations of a standard N-to-N FFT is about 4N*log 2 N, then the computational cost of the new algorithm reduces from 8N*log 2 M to about (4N+24M)* (1+log 2 M). In our dense imaging case, M is much smaller than N, and so the acceleration factor can be one value as large as 2. The specialized 2-D FFT scheme presented above works well suited for TIB with L=2R0. For a 4R0 4R0 size window, the average floating operations on each imaged point within the central 2R0 2R0 TIB are a few more than 2*log 2 M. Compared to the 2-D FFT for over-sampled signal described in the beginning of this sub-section, our new method could increase the transforming speed if at all possible of a factor near to 16 (i.e for three properties), which in turn is 16*log 2 N/log 2 M times faster than standard 2-D FFT adopted by other papers. An example is illustrated in Table 2. Table 2 Number of operations to compute a 32X32-to-512X512 2-D DFT Algorithm FLOPS Speed up Standard 2-D FFT Specialized 2-D FFT in this paper ~ Application and experiment of DSI check An application of the DSI algorithms, presented in section 2, in checking full-chip manufacturability is presented below. An important goal for RET modifications is to achieve high image contrast at the printed edges so as to minimize the CD (Critical Dimension) variation within the process window. Therefore, it is valuable being able to detect the low contrast areas quickly. The first step designed is to perform DSI on a TIB and filter out points with intensity values within a range centered around the intensity threshold from the calibrated process model. Two Sobel operators are then convoluted with image around these points to compute the horizontal and vertical intensity gradients G x and G y, which can be combined into local intensity contrast G subsequently. Due to the sparsity of points within the threshold range as well as the low complexity of Sobel operator convolution, this step takes an insignificant amount of CPU time in comparison to DSI, though huge layout data volume requires more disk access operations. All low-contrast areas in a TIB are then marked; and after stitching together neighboring TIBs, the problematic areas can be further examined later. This process repeats until all the TIBs have been examined. Fig. 8 is given here as the result of low-contrast check on post-ret layouts in Fig. 1, where the

11 Full-IC manufacturability check based on dense silicon imaging 543 Fig. 8. Distribution of low-contrast points in warning range. dark areas are composed of points with low intensity contrast. By choosing appropriately the intensity range around the threshold and contrast value for low-contrast warning, only points with noteworthy problems are shown in this figure. Verifications of this kind have been done on several UDSM and sub-100-nanometer ICs; lack of RET processing, inappropriate RET applying and the so-called side-lobes or scum [19,20], which are unaware of by commercial RET tools based on sparse points imaging, have been detected. In Table 3, an example of full chip low-contrast check based on DSI is summarized. Thanks to all algorithms presented in this paper, DSI based check on such a 1 mm 1 mm chip can be done within 24 hours. Such a speed has not been reported by others before. Area size Table 3 Summary of one full-chip DSI and low-contrast check on a 90 nm design Radius (R0) Imaging grid M N Computer type Technology Computation time 1mm 1mm 90 nm 1280 nm 10 nm < P4/1.8G ~20000 s 4 Conclusion Due to significant OPE in deep sub-wavelength photolithography process prevalent at the current and next several technology nodes, increasingly aggressive RETs have been employed to improve manufacturability and yield. The necessity of post-ret sign-off verification using DSI has been discussed and demonstrated. Innovative algorithms are reported for the several key steps of the dense silicon imaging, namely those for element block partition, fast element block transformation and a specialized 2-D FFT scheme that is many times faster. The performance improvement resulting from these algorithms makes the full-chip dense imaging a practical option for post-ret verification methodology. Additionally, a DSI based scheme for low contrast detection is proposed and demonstrated which is an important part of post-ret verification. Acknowledgements The authors thank scientists and engineers from Semiconductor Manufacturing International Corporation (SMIC) for valuable discussions and support. This work was supported by the National Natural Science

12 544 Science in China Ser. F Information Sciences 2005 Vol.48 No Foundation of China (Grant Nos and ), and the Hi-Tech R&D (863) Program of China (Grant Nos. 2002AA1Z1460 and 2003AA1Z1370). References 1. Ogawa, K., Ashida, I., Kawahira, H., New mask data verification method after optical proximity effect correction, SPIE, 2001, 4409: [DOI] 2. Malhotra, V., Chang, F., Verifying the correctness of your optical proximity correction designs, SPIE, 1999, 3679: [DOI] 3. Wong, A. K., Microlithography: Trends, challenges, solutions, and their impact on design, Micro, IEEE, 2003, 23(2): Karklin, L., Mazor, S., Joshi, D., Subwavelength lithography: An impact of photo mask errors on circuit performance, SPIE, 2002, 4691: [DOI] 5. Yan, X., Chen, Y., Shi, Z., Architecture of a post-opc silicon verification tool, Proc. ASICON, Beijing, China, 2003, Kahng, A. B., Pati, Y., Subwavelength lithography and its potential impact on design and EDA, Proc. ACM/IEEE Design Automation Conf., New Orlears, LA, USA, 1999, Rieger, M., Mayhew, J., Panchapakesan, S., Layout design methodology for sub-wavelength manufacturing, Proc. ACM/IEEE Design Automation Conf., Las Vegas, NV, USA, 2001, Stirniman, J., Rieger, M., Spatial-filter models to describe IC lithographic behavior, SPIE, 1997, 3051: [DOI] 9. Chen, Z., Shi, Z., Wang, G. et al., A new method of 2D contour extraction for fast simulation of photolithographic process, Chinese Journal of Semiconductors, 2002, 23(7): Granik, Y., Cobb, N., Do, T., Universal process modeling with VTRE for OPC, SPIE, 2002, 4691: [DOI] 11. Pati, Y., Kailath, T., Phase-shifting masks for microlithography: Automated design and mask requirements, Journal of the Optical Society of America A-Optics Image Science and Vision, 1994, 11(9): Cobb, N., Zakhor, A., A mathematical and CAD framework for proximity correction, SPIE, 1996, 2726: [DOI] 13. Sahouria, E., Granik, Y., Cobb, N. et al., Full-chip process simulation for silicon DRC, International Conference on Modeling and Simulation of Microsystems, San Diego CA, USA, 2000, Lee, S., Ka, C. N., Takachi, O. et al., LAVA web-based remote simulation: Enhancements for education and technology innovation, SPIE, 2001, 4346: [DOI] 15. Cobb, N., Zakhor, A., Large-area phase-shift mask design, SPIE, 1994, 2197: [DOI] 16. Qian, Q., Leon, F., Fast algorithms for 3D high NA lithography simulation, SPIE, 1995, 2440: [DOI] 17. Bernard, D., Li, J., Rey, J. et al., Efficient computational techniques for aerial imaging simulation, SPIE, 1996, 2726: [DOI] 18. Sorensen, H., Burrus, C., Efficient computation of the DFT with only a subset of input or output points, IEEE Transactions on Signal Processing, 1993, 41(3): [DOI] 19. Dolainsky, C., Karakatsanis, P., Gans, F. et al., Simulation-based method for sidelobe suppression, SPIE, 2000, 4000: [DOI] 20. Toublan, O., Cobb, N., Sahouria, E., Fully automatic side lobe detection and correction technique for attenuated phase-shift masks, SPIE, 2001, 4346: [DOI]

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

Process Variation Aware OPC with Variational Lithography Modeling

Process Variation Aware OPC with Variational Lithography Modeling Process Variation Aware OPC with Variational Lithography Modeling Peng Yu ECE Department University of Texas at Austin Austin, TX 78712 yupeng@cerc.utexas.edu Sean X. Shi ECE Department University of Texas

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Adaptive osculatory rational interpolation for image processing

Adaptive osculatory rational interpolation for image processing Journal of Computational and Applied Mathematics 195 (2006) 46 53 www.elsevier.com/locate/cam Adaptive osculatory rational interpolation for image processing Min Hu a, Jieqing Tan b, a College of Computer

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Implementation of Lifting-Based Two Dimensional Discrete Wavelet Transform on FPGA Using Pipeline Architecture

Implementation of Lifting-Based Two Dimensional Discrete Wavelet Transform on FPGA Using Pipeline Architecture International Journal of Computer Trends and Technology (IJCTT) volume 5 number 5 Nov 2013 Implementation of Lifting-Based Two Dimensional Discrete Wavelet Transform on FPGA Using Pipeline Architecture

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Digital Image Processing. Image Enhancement in the Frequency Domain

Digital Image Processing. Image Enhancement in the Frequency Domain Digital Image Processing Image Enhancement in the Frequency Domain Topics Frequency Domain Enhancements Fourier Transform Convolution High Pass Filtering in Frequency Domain Low Pass Filtering in Frequency

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

CHAPTER 5 GLOBAL AND LOCAL FEATURES FOR FACE RECOGNITION

CHAPTER 5 GLOBAL AND LOCAL FEATURES FOR FACE RECOGNITION 122 CHAPTER 5 GLOBAL AND LOCAL FEATURES FOR FACE RECOGNITION 5.1 INTRODUCTION Face recognition, means checking for the presence of a face from a database that contains many faces and could be performed

More information

Computer Vision and Graphics (ee2031) Digital Image Processing I

Computer Vision and Graphics (ee2031) Digital Image Processing I Computer Vision and Graphics (ee203) Digital Image Processing I Dr John Collomosse J.Collomosse@surrey.ac.uk Centre for Vision, Speech and Signal Processing University of Surrey Learning Outcomes After

More information

Research Article Line Search-Based Inverse Lithography Technique for Mask Design

Research Article Line Search-Based Inverse Lithography Technique for Mask Design Hindawi Publishing Corporation VLSI Design Volume 202, Article ID 58928, 9 pages doi:0.55/202/58928 Research Article Line Search-Based Inverse Lithography Technique for Mask Design Xin Zhao and Chris Chu

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

THE orthogonal frequency-division multiplex (OFDM)

THE orthogonal frequency-division multiplex (OFDM) 26 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 1, JANUARY 2010 A Generalized Mixed-Radix Algorithm for Memory-Based FFT Processors Chen-Fong Hsiao, Yuan Chen, Member, IEEE,

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Lossless Compression Algorithm for Hierarchical IC Layout. Allan Gu. B.S. University of California, Berkeley 2004

Lossless Compression Algorithm for Hierarchical IC Layout. Allan Gu. B.S. University of California, Berkeley 2004 Lossless Compression Algorithm for Hierarchical IC Layout by Allan Gu B.S. University of California, Berkeley 2004 A thesis submitted in partial satisfaction of the requirements for the degree of Master

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Application of Automated Design Migration to Alternating Phase Shift Mask Design

Application of Automated Design Migration to Alternating Phase Shift Mask Design Application of Automated Design Migration to Alternating Phase Shift Mask Design Fook-Luen Heng IBM T.J. Watson Research Center P.O. BOX 218 Yorktown Heights, NY 10598 1-914-945-2200 heng@watson.ibm.com

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Design for Manufacturibity The impact on the Physical Design Stage and flow

Design for Manufacturibity The impact on the Physical Design Stage and flow Design for Manufacturibity The impact on the Physical Design Stage and flow danny@tayden.com Dr. Danny Rittman June 2004 Abstract In this paper I present the impact of sub-wavelength optical lithography

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

A Laplacian Based Novel Approach to Efficient Text Localization in Grayscale Images

A Laplacian Based Novel Approach to Efficient Text Localization in Grayscale Images A Laplacian Based Novel Approach to Efficient Text Localization in Grayscale Images Karthik Ram K.V & Mahantesh K Department of Electronics and Communication Engineering, SJB Institute of Technology, Bangalore,

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Line Search-Based Inverse Lithography Technique for Mask Design

Line Search-Based Inverse Lithography Technique for Mask Design Electrical and Computer Engineering Publications Electrical and Computer Engineering 2012 Line Search-Based Inverse Lithography Technique for Mask Design Xin Zhao Ames Laboratory, xzhao@iastate.edu Chris

More information

CONTENT ADAPTIVE SCREEN IMAGE SCALING

CONTENT ADAPTIVE SCREEN IMAGE SCALING CONTENT ADAPTIVE SCREEN IMAGE SCALING Yao Zhai (*), Qifei Wang, Yan Lu, Shipeng Li University of Science and Technology of China, Hefei, Anhui, 37, China Microsoft Research, Beijing, 8, China ABSTRACT

More information

Hardware Acceleration of Edge Detection Algorithm on FPGAs

Hardware Acceleration of Edge Detection Algorithm on FPGAs Hardware Acceleration of Edge Detection Algorithm on FPGAs Muthukumar Venkatesan and Daggu Venkateshwar Rao Department of Electrical and Computer Engineering University of Nevada Las Vegas. Las Vegas NV

More information

Lecture 5: Frequency Domain Transformations

Lecture 5: Frequency Domain Transformations #1 Lecture 5: Frequency Domain Transformations Saad J Bedros sbedros@umn.edu From Last Lecture Spatial Domain Transformation Point Processing for Enhancement Area/Mask Processing Transformations Image

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java Threads

Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java Threads Performance Evaluations for Parallel Image Filter on Multi - Core Computer using Java s Devrim Akgün Computer Engineering of Technology Faculty, Duzce University, Duzce,Turkey ABSTRACT Developing multi

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Digital Image Processing. Lecture 6

Digital Image Processing. Lecture 6 Digital Image Processing Lecture 6 (Enhancement in the Frequency domain) Bu-Ali Sina University Computer Engineering Dep. Fall 2016 Image Enhancement In The Frequency Domain Outline Jean Baptiste Joseph

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion

New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion Jae Ho Kim* Tae Il Chung Hyung Soon Kim* Kyung Sik Son* Pusan National University Image and Communication Laboratory San 3,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

Magnetics. Introduction to Filtering using the Fourier Transform. Chuck Connor, Laura Connor. Potential Fields. Magnetics.

Magnetics. Introduction to Filtering using the Fourier Transform. Chuck Connor, Laura Connor. Potential Fields. Magnetics. Introduction to using the Chuck Connor, Laura Connor Potential Fields for this week Nm Sm Schematic Earth dipolar magnetic field. The field lines placed in the page plane are drawn as thick lines, those

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Kun Yuan, Jae-Seo Yang, David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

Optical Proximity Correction with Linear Regression

Optical Proximity Correction with Linear Regression Optical Proximity Correction with Linear Regression 1 Allan Gu and Avideh Zakhor, Fellow, IEEE Department of Electrical Engineering and Computer Sciences University of California at Berkeley, CA 9472,

More information

PSM design for inverse lithography with partially coherent illumination

PSM design for inverse lithography with partially coherent illumination PSM design for inverse lithography with partially coherent illumination Xu Ma a and Gonzalo R. Arce b Department of Electrical and Computer Engineering, University of Delaware, Newark, DE, 19716, U.S.A.

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

IN all imaging systems, the underlying physical process

IN all imaging systems, the underlying physical process 774 IEEE TRANSACTIONS ON IMAGE PROCESSING, VOL. 16, NO. 3, MARCH 2007 Mask Design for Optical Microlithography An Inverse Imaging Problem Amyn Poonawala and Peyman Milanfar, Senior Member, IEEE Abstract

More information

CHAPTER 3 DISPARITY AND DEPTH MAP COMPUTATION

CHAPTER 3 DISPARITY AND DEPTH MAP COMPUTATION CHAPTER 3 DISPARITY AND DEPTH MAP COMPUTATION In this chapter we will discuss the process of disparity computation. It plays an important role in our caricature system because all 3D coordinates of nodes

More information

Error-Diffusion Robust to Mis-Registration in Multi-Pass Printing

Error-Diffusion Robust to Mis-Registration in Multi-Pass Printing Error-Diffusion Robust to Mis-Registration in Multi-Pass Printing Zhigang Fan, Gaurav Sharma, and Shen-ge Wang Xerox Corporation Webster, New York Abstract Error-diffusion and its variants are commonly

More information

Auxiliary Pattern for Cell-Based OPC

Auxiliary Pattern for Cell-Based OPC Auxiliary Pattern for Cell-Based OPC Andrew B. Kahng a,b,c and Chul-Hong Park a a UCSD ECE Department, La Jolla, CA b UCSD CSE Department, La Jolla, CA c Blaze DFM, Inc., Sunnyvale, California ABSTRACT

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Rule-based inspection of Wafer surface

Rule-based inspection of Wafer surface Rule-based inspection of Wafer surface N.G. Shankar Z.W. Zhong Euro Technology Pte Ltd School of Mechanical & Production Engineering Tech Place 1 Nanyang Technological University Singapore 569628 Nanyang

More information

A Novel Image Super-resolution Reconstruction Algorithm based on Modified Sparse Representation

A Novel Image Super-resolution Reconstruction Algorithm based on Modified Sparse Representation , pp.162-167 http://dx.doi.org/10.14257/astl.2016.138.33 A Novel Image Super-resolution Reconstruction Algorithm based on Modified Sparse Representation Liqiang Hu, Chaofeng He Shijiazhuang Tiedao University,

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

University of Huddersfield Repository

University of Huddersfield Repository University of Huddersfield Repository Muhamedsalih, Hussam, Jiang, Xiang and Gao, F. Interferograms analysis for wavelength scanning interferometer using convolution and fourier transform. Original Citation

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Motion. 1 Introduction. 2 Optical Flow. Sohaib A Khan. 2.1 Brightness Constancy Equation

Motion. 1 Introduction. 2 Optical Flow. Sohaib A Khan. 2.1 Brightness Constancy Equation Motion Sohaib A Khan 1 Introduction So far, we have dealing with single images of a static scene taken by a fixed camera. Here we will deal with sequence of images taken at different time intervals. Motion

More information

A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS

A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS Xie Li and Wenjun Zhang Institute of Image Communication and Information Processing, Shanghai Jiaotong

More information

Optimal Channel Selection for Cooperative Spectrum Sensing Using Coordination Game

Optimal Channel Selection for Cooperative Spectrum Sensing Using Coordination Game 2012 7th International ICST Conference on Communications and Networking in China (CHINACOM) Optimal Channel Selection for Cooperative Spectrum Sensing Using Coordination Game Yuhua Xu, Zhan Gao and Wei

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Denoising and Edge Detection Using Sobelmethod

Denoising and Edge Detection Using Sobelmethod International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Denoising and Edge Detection Using Sobelmethod P. Sravya 1, T. Rupa devi 2, M. Janardhana Rao 3, K. Sai Jagadeesh 4, K. Prasanna

More information

Lecture Image Enhancement and Spatial Filtering

Lecture Image Enhancement and Spatial Filtering Lecture Image Enhancement and Spatial Filtering Harvey Rhody Chester F. Carlson Center for Imaging Science Rochester Institute of Technology rhody@cis.rit.edu September 29, 2005 Abstract Applications of

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

ME/CS 132: Introduction to Vision-based Robot Navigation! Low-level Image Processing" Larry Matthies"

ME/CS 132: Introduction to Vision-based Robot Navigation! Low-level Image Processing Larry Matthies ME/CS 132: Introduction to Vision-based Robot Navigation! Low-level Image Processing" Larry Matthies" lhm@jpl.nasa.gov, 818-354-3722" Announcements" First homework grading is done! Second homework is due

More information

Using a Scalable Parallel 2D FFT for Image Enhancement

Using a Scalable Parallel 2D FFT for Image Enhancement Introduction Using a Scalable Parallel 2D FFT for Image Enhancement Yaniv Sapir Adapteva, Inc. Email: yaniv@adapteva.com Frequency domain operations on spatial or time data are often used as a means for

More information

Image Transformation Techniques Dr. Rajeev Srivastava Dept. of Computer Engineering, ITBHU, Varanasi

Image Transformation Techniques Dr. Rajeev Srivastava Dept. of Computer Engineering, ITBHU, Varanasi Image Transformation Techniques Dr. Rajeev Srivastava Dept. of Computer Engineering, ITBHU, Varanasi 1. Introduction The choice of a particular transform in a given application depends on the amount of

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Optimization of one- and two dimensional masks in the optical lithography

Optimization of one- and two dimensional masks in the optical lithography Optimization of one- and two dimensional masks in the optical lithography Richárd Farkas University of Szeged Gabriella Kókai Friedrich-Alexander Universität Erlangen-Nürnberg Bernd Tollkühn, Andreas Erdmann,

More information

Lecture 6: Edge Detection

Lecture 6: Edge Detection #1 Lecture 6: Edge Detection Saad J Bedros sbedros@umn.edu Review From Last Lecture Options for Image Representation Introduced the concept of different representation or transformation Fourier Transform

More information

Color patterns in a tapered lightpipe with RGB LEDs

Color patterns in a tapered lightpipe with RGB LEDs Color patterns in a tapered lightpipe with RGB LEDs Diego Esparza, Ivan Moreno Unidad Academica de Fisica, Universidad Autonoma de Zacatecas, 98060, Zacatecas, Mexico. ABSTRACT There is an enormous range

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Hyperspectral Remote Sensing

Hyperspectral Remote Sensing Hyperspectral Remote Sensing Multi-spectral: Several comparatively wide spectral bands Hyperspectral: Many (could be hundreds) very narrow spectral bands GEOG 4110/5100 30 AVIRIS: Airborne Visible/Infrared

More information

Copyright 2005 Center for Imaging Science Rochester Institute of Technology Rochester, NY

Copyright 2005 Center for Imaging Science Rochester Institute of Technology Rochester, NY Development of Algorithm for Fusion of Hyperspectral and Multispectral Imagery with the Objective of Improving Spatial Resolution While Retaining Spectral Data Thesis Christopher J. Bayer Dr. Carl Salvaggio

More information

Supplementary materials of Multispectral imaging using a single bucket detector

Supplementary materials of Multispectral imaging using a single bucket detector Supplementary materials of Multispectral imaging using a single bucket detector Liheng Bian 1, Jinli Suo 1,, Guohai Situ 2, Ziwei Li 1, Jingtao Fan 1, Feng Chen 1 and Qionghai Dai 1 1 Department of Automation,

More information

LOW-POWER SPLIT-RADIX FFT PROCESSORS

LOW-POWER SPLIT-RADIX FFT PROCESSORS LOW-POWER SPLIT-RADIX FFT PROCESSORS Avinash 1, Manjunath Managuli 2, Suresh Babu D 3 ABSTRACT To design a split radix fast Fourier transform is an ideal person for the implementing of a low-power FFT

More information

Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control

Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control J. Micro/Nanolith. MEMS MOEMS 7 1, 013002 Jan Mar 2008 Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control Andrew B. Kahng University of California

More information

DIGITAL TERRAIN MODELS

DIGITAL TERRAIN MODELS DIGITAL TERRAIN MODELS 1 Digital Terrain Models Dr. Mohsen Mostafa Hassan Badawy Remote Sensing Center GENERAL: A Digital Terrain Models (DTM) is defined as the digital representation of the spatial distribution

More information

Basic Algorithms for Digital Image Analysis: a course

Basic Algorithms for Digital Image Analysis: a course Institute of Informatics Eötvös Loránd University Budapest, Hungary Basic Algorithms for Digital Image Analysis: a course Dmitrij Csetverikov with help of Attila Lerch, Judit Verestóy, Zoltán Megyesi,

More information

Use of Shape Deformation to Seamlessly Stitch Historical Document Images

Use of Shape Deformation to Seamlessly Stitch Historical Document Images Use of Shape Deformation to Seamlessly Stitch Historical Document Images Wei Liu Wei Fan Li Chen Jun Sun Satoshi Naoi In China, efforts are being made to preserve historical documents in the form of digital

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

High Capacity Reversible Watermarking Scheme for 2D Vector Maps

High Capacity Reversible Watermarking Scheme for 2D Vector Maps Scheme for 2D Vector Maps 1 Information Management Department, China National Petroleum Corporation, Beijing, 100007, China E-mail: jxw@petrochina.com.cn Mei Feng Research Institute of Petroleum Exploration

More information

A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment

A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment Ting Chen a), Hengzhu Liu, and Botao Zhang College of

More information

Lossless Compression Algorithm for Hierarchical IC Layout Data

Lossless Compression Algorithm for Hierarchical IC Layout Data Lossless Compression Algorithm for Hierarchical IC Layout Data Allan Gu and Avideh Zakhor Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California

More information

Vivekananda. Collegee of Engineering & Technology. Question and Answers on 10CS762 /10IS762 UNIT- 5 : IMAGE ENHANCEMENT.

Vivekananda. Collegee of Engineering & Technology. Question and Answers on 10CS762 /10IS762 UNIT- 5 : IMAGE ENHANCEMENT. Vivekananda Collegee of Engineering & Technology Question and Answers on 10CS762 /10IS762 UNIT- 5 : IMAGE ENHANCEMENT Dept. Prepared by Harivinod N Assistant Professor, of Computer Science and Engineering,

More information

Metallic Transmission Screen for Sub-wavelength Focusing

Metallic Transmission Screen for Sub-wavelength Focusing Metallic Transmission Screen for Sub-wavelength Focusing A.M.H. Wong, C.D. Sarris and G.V. leftheriades Abstract: A simple metallic transmission screen is proposed that is capable of focusing an incident

More information

Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks

Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.9, September 2017 139 Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks MINA MAHDAVI

More information