Pushing 193i lithography by Joint optimization of Layout and Lithography

Size: px
Start display at page:

Download "Pushing 193i lithography by Joint optimization of Layout and Lithography"

Transcription

1 Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011

2 Semiconductor-Industry Scaling trends 500 Pitch scaling Area scaling Min. Gate Pitch [nm] min. Gate Pitch SRAM bit-cell Area [µm 2 ] SRAM bit-cell area Technology Node Technology Node The Industry would like to maintain these trends PETER DE BISSCHOP 2

3 even though this implies Lithography at decreasing k NA 0.75 NA 248 nm 193 nm k NA 0.85 NA 0.93 NA 1.2 NA 0.80 NA 1.35 NA With Single Patterning 193i: Arbitrary shapes (2D) increasingly difficult/impossible Technology Node 1.35 NA 1.35 NA Even 1D Impossible New techniques and processes (e.g. DP) Increasing restrictions on allowed layouts PETER DE BISSCHOP 3

4 Illustration: 6T SRAM Electrical diagram 6T SRAM: electrical diagram Even though this electrical scheme is fixed There are several ways to make the pattern-layouts = which pattern-shapes we will print in each process layer PETER DE BISSCHOP 4

5 Illustration: 6T SRAM Layout: 2 examples WL BL PG1 PD1 gnd PU1 Vdd Active Poly Contact Metal1 Vdd PU2 PD2 PG2 BL gnd WL Tall cell Thin cell PETER DE BISSCHOP 5

6 Illustration: 6T SRAM Layout: 2 examples Active & Poly only PG1 PD1 PU1 PU2 PU1 Active Poly PD1 PD2 PU2 PG1 PG2 PD2 PG2 Both Active & Poly: 2D patterns Simplification of shapes: More uni-directional PETER DE BISSCHOP 6

7 6T SRAM Active & Poly: actual wafer images Sour ce: Y. Bordovsky (Intel), Proc. SPIE, vol (2006) Introduction of cut-mask Cut-mask approach time PETER DE BISSCHOP 7

8 Cut-mask approach: Improved Poly CD control - Logic example 2D allowed Poly CD variation in transistor area 1D & Poly-cut mask 8 2D poly 3σ Poly CD [nm] Target Poly Active Cut 3 1D poly J nm Node 20 nm Best Poly CD control: Poly = uni-directional, single pitch + Cut J108 PETER DE BISSCHOP 8

9 Poly-Gate: layout evolution summary Random 2D 2D single gate pitch Layout Restriction Node Uni-directional Single pitch Cut-mask Spacer-defined DP & Cut A similar evolution can be expected for most other line/trench-type layers PETER DE BISSCHOP 9

10 SRAM FinFet: Fin patterning Example with double Fin at PD transistor PG PD Predicted cutpattern PV band PU Target Pattern: Fin & Gate Core & Spacers Cut V. S. Basker et. al., 2010 Symposium on VLSI Technology Digest of Technical Papers, p19 PETER DE BISSCHOP 10

11 Back to (Planar) SRAM: Metal1 & the use of a Local Interconnect (LI) layer (1) no LI (2) Single LI layer (3) dual LI layer Poly Active LI1 LI2 Metal1: uni-directional Contact Metal1 Changes in integration can lead to important layout changes PETER DE BISSCHOP 11

12 Metal 1 SRAM: calculated PV band Single Patterning Negative-tone development 28 nm 22 nm Technology node (1) noli J789 J791 (2) J472 J473 J474 J450 J475 Single LI P110P90 P100P80 P90P90 P90P80 P80P80 PETER DE BISSCHOP 12

13 Metal 1: SRAM: Litho performance Single Patterning Negative-tone development 28 nm 22 nm Technology node (1) noli J789 J791 Non-calibrated OPC! (2) Single LI J472 J473 J474 P110P90 P100P80 P90P90 P90P80 P80P80 No LI: SRAM Metal1 has no SP solution beyond ~28 nm node J450 J475 PETER DE BISSCHOP 13

14 Metal1 - SRAM: Double patterning Layout without LI layer Do we obtain enough litho performance if we split the Metal1 layer? MEF > 4 and DOF@6%EL < 100 nm for 28 nm node and beyond Split layers remain bi-directional Metal1 Split Layout DP does not save the no-li Metal1 case LI becomes a must from ~28nm node onwards PETER DE BISSCHOP 14

15 Logic: Metal1 layout variations Example: XOR cell Simple XOR implementation Metal1/Contact, if no LI layer is used (& uni-directional Poly) Out = A B + A B High Metal1 density Several Splitting conflicts scenario with LI must be considered, but that leaves still several layout options open PETER DE BISSCHOP 15

16 XOR with single LI layer Poly: uni-directional Version 1: 2D patterns in Metal1 Horizontal M1 lines on grid ( gridded layout) P_M1 At the 22/20 nm node P_M1 80 nm This pattern needs to be split PETER DE BISSCHOP 16

17 XOR with single LI layer Version 1: 2D Metal1 Assume: P_M1 80 nm Stitch necessary Vertical stitch still feasible Simulated PV band (no overlay error) Overlap exists, but is ~marginal PETER DE BISSCHOP 17

18 XOR with single LI layer Version 1: 2D Metal1 Assume: P_M1 << 80 nm (e.g. 64 nm) Vertical stitch not possible (no overlap) Diagonal stitch required Simulated PV band (no overlay error) Overlap exists, but is ~marginal PETER DE BISSCHOP 18

19 XOR with single LI layer Poly: uni-directional Version 2: Metal1 is uni-directional Horizontal M1 lines on grid ( gridded layout) If M1 gaps are large enough, split is easy and offers no conflicts But: some M2 is required to complete the internal cell wiring PETER DE BISSCHOP 19

20 Uni-directional Metal 1: on-wafer example P_M1 = 80 nm Dummy M1 tracks inserted in layout Metal1 Contact 80 nm Non-calibrated OPC! Negative-tone development Uni-directional layouts are ideal to push Single Patterning to very low pitches! PETER DE BISSCHOP 20

21 XOR with dual-li layer Poly: uni-directional Version 3: Dual-layer Local Interconnect Metal1 must allow 2D patterns again Vertical M1 lines on grid ( gridded layout ) Need to ensure DPcompatibility while making individual cell layouts Simulated PV band; 20 nm node case (litho bias still to be removed) PETER DE BISSCHOP 21

22 Metal1: future & questions Is making also Metal1 uni-directonal possible/acceptable? If Metal1 remains 2D: Can DP-compatibility be enforced in all cases with a suitable set of Design Rules? LELE or SADP? SADP is attractive (overlay-performance, EOL & LWR), but can all layouts be made compatible with SADP? 15 nm hp SADP pattern examples Core mask printed with EUV C. Bencher et. al. SPIE 2011 Metal1 is one of the major Layout-Litho challenges for current and future technology nodes PETER DE BISSCHOP 22

23 Summary - The future of lithographers Future nodes will be based on a (successful) interaction between Integration architecture Litho Printability Layout choices Design Rules Manufacturability Scalability Complexity Cost Lithographers must leave their own comfort zone and interact more closely with Design and Integration PETER DE BISSCHOP 23

24 Thank you PETER DE BISSCHOP 24

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Multiple-Patterning Optimizations for the Long Run

Multiple-Patterning Optimizations for the Long Run Multiple-Patterning Optimizations for the Long Run Andrew B. Kahng UCSD VLSI CAD Laboratory abk@ucsd.edu http://vlsicad.ucsd.edu/ A. B. Kahng, ICCAD-2011 Litho Workshop, 111110 Multiple-Patterning Lithography

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition

A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition A Novel Methodology for Triple/Multiple-Patterning Layout Decomposition Rani S. Ghaida 1, Kanak B. Agarwal 2, Lars W. Liebmann 3, Sani R. Nassif 2, Puneet Gupta 1 1 UCLA, Electrical Engineering Dept. 2

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Physical design and mask synthesis considerations for DPT

Physical design and mask synthesis considerations for DPT Physical design and mask synthesis considerations for DPT Kevin Lucas, Chris Cork, John Hapli, Alex Miloslavsky Synopsys Vincent Wiaux, Staf Verhaegen IMEC Double Patterning pitch splitting 1 st trench

More information

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning

Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning R1-16 SASIMI 2015 Proceedings Rip-up and Reroute based Routing Algorithm for Self-Aligned Double Patterning Takeshi Ihara and Atsushi Takahashi Chikaaki Kodama Department of Communications and Computer

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ABSTRACT Kwangsoo Han, Andrew B. Kahng, Hyein Lee and, Lutong Wang ECE and CSE Depts., University of California

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability Chung-Wei Lin 1, Ming-Chao Tsai 2, Kuang-Yao Lee 2, Tai-Chen Chen 1, Ting-Chi Wang 2, and Yao-Wen Chang 1 1

More information

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Kun Yuan, Jae-Seo Yang, David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin

More information

Recent Development and Progress in Nonvolatile Memory for Embedded Market

Recent Development and Progress in Nonvolatile Memory for Embedded Market Recent Development and Progress in Nonvolatile Memory for Embedded Market Saied Tehrani, Ph.D. Chief Technology Officer, Spansion Inc. July 11, 2012 1 Outline Market Trend for Nonvolatile Memory NOR Flash

More information

Directed Self-Assembly for the Semiconductor Industry

Directed Self-Assembly for the Semiconductor Industry Directed Self-Assembly for the Semiconductor Industry H.-S. Philip Wong, Chris Bencher # Linda He Yi, Xin-Yu Bao, Li-Wen Chang Stanford University, # Applied Materials Stanford University J.W. Jeong...C.A.

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam

On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques. Alexey Lvov Gus Tellez Gi-Joon Nam On Coloring and Colorability Analysis of Integrated Circuits with Triple and Quadruple Patterning Techniques Alexey Lvov Gus Tellez Gi-Joon Nam Background and motivation Manaufacturing difficulty 22nm:

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT EUV Lithography Coming to your local IC manufacturer! Soon TM Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT Applied Optics Surface finish requirements for soft x-ray mirrors. D. L. Windt,

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

Regularity for Reduced Variability

Regularity for Reduced Variability Regularity for Reduced Variability Larry Pileggi Carnegie Mellon pileggi@ece.cmu.edu 28 July 2006 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

arxiv: v1 [cs.oh] 2 Aug 2014

arxiv: v1 [cs.oh] 2 Aug 2014 Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting Bei Yu a 1, Subhendu Roy a, Jhih-Rong Gao b, David Z. Pan a a ECE Department, University of Texas at Austin, Austin, Texas, United

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography

Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Self-aligned Double Patterning Layout Decomposition with Complementary E-Beam Lithography Jhih-Rong Gao, Bei Yu and David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at

More information

A Framework for Exploring the Interaction Between. Design Rules and Overlay Control

A Framework for Exploring the Interaction Between. Design Rules and Overlay Control A Framework for Exploring the Interaction Between Design Rules and Overlay Control Rani S. Ghaida, Mukul Gupta, and Puneet Gupta GLOBALFOUNDRIES Inc., Technology Enablement Division Univ. of California,

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

DSA: How far have we come and how much further is left to go? Darron Jurajda

DSA: How far have we come and how much further is left to go? Darron Jurajda DSA: How far have we come and how much further is left to go? Darron Jurajda Semiconductor Business Unit Director, Brewer Science Outline Background of DSA at Brewer Science DSA historical progress Hype

More information

Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography

Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography Flexible 2D Layout Decomposition Framework for Spacer-Type Double Pattering Lithography Yongchan an, Kevin Lucas* and David Pan Department of EE, The University of Texas at ustin, ustin, TX US *Synopsys

More information

Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography

Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography Detailed Routing for Spacer-Is-Metal Type Self-Aligned Double/Quadruple Patterning Lithography Yixiao Ding Department of Electrical and Computer Engineering Iowa State University Ames, IA 50010, USA yxding@iastate.edu

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

ASAP7 Predictive Design Kit Development and Cell Design Technology Co-optimization

ASAP7 Predictive Design Kit Development and Cell Design Technology Co-optimization ASAP7 Predictive Design Kit Development and Cell Design Technology Co-optimization Vinay Vashishtha Manoj Vangala Lawrence T. Clark School of Electrical, Computer and Energy Engineering Arizona State University

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab Flash Memories Ramin Roosta Dept. of Computer Engineering EE 595 EDA / ASIC Design Lab Content Non-volatile memories Flash applications Industry standards Architectures Main reliability issues New cells

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line

Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line Wei Ye, Bei Yu, Yong-Chan Ban, Lars Liebmann, David Z. Pan ECE Department, University of Texas at Austin, Austin,

More information

Process, design rule, and layout co-optimization for DSA based patterning of sub-10nm Finfet devices

Process, design rule, and layout co-optimization for DSA based patterning of sub-10nm Finfet devices Process, design rule, and layout co-optimization for DSA based patterning of sub-10nm Finfet devices Joydeep Mitra 1, Andres Torres 1, and David Z Pan 2 1 Mentor Graphics Corporation 2 University of Texas

More information

DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY HONGBO ZHANG DISSERTATION

DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY HONGBO ZHANG DISSERTATION c 2012 Hongbo Zhang DESIGN-TECHNOLOGY CO-OPTIMIZATION IN NEXT GENERATION LITHOGRAPHY BY HONGBO ZHANG DISSERTATION Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

A Framework for Double Patterning-Enabled Design

A Framework for Double Patterning-Enabled Design A Framework for Double Patterning-Enabled Design Rani S. Ghaida, Kanak B. Agarwal, Sani R. Nassif, Xin Yuan, Lars W. Liebmann, Puneet Gupta UCLA, Electrical Engineering Dept. IBM Corp., Austin Research

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Session 11 Overview: SRAM MEMORY SUBCOMMITTEE

Session 11 Overview: SRAM MEMORY SUBCOMMITTEE ISSCC 2018 / SESSION 11 / SRAM / OVERVIEW Session 11 Overview: SRAM MEMORY SUBCOMMITTEE Session Chair: Jonathan Chang TSMC, Hsinchu, Taiwan Associate Chair: Chun Shiah Etron, Hsinchu, Taiwan Subcommittee

More information

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman. Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Copyright by Xiaoqing Xu 2017

Copyright by Xiaoqing Xu 2017 Copyright by Xiaoqing Xu 2017 The Dissertation Committee for Xiaoqing Xu certifies that this is the approved version of the following dissertation: Standard Cell Optimization and Physical Design in Advanced

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

On Refining Standard Cell Placement for Self-aligned Double Patterning *

On Refining Standard Cell Placement for Self-aligned Double Patterning * On Refining Standard Cell Placement for Self-aligned Double Patterning * Ye-Hong Chen, Sheng-He Wang and Ting-Chi Wang Department of Computer Science, National Tsing Hua University, Hsinchu City 30013,

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information