Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Size: px
Start display at page:

Download "Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node"

Transcription

1 Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San #16 Banwol-Dong, Hwasung-City, Gyeonggi-Do, , Republic of Korea ABSTRACT The computational lithography such as inverse lithography technique (ILT) or source mask optimization (SMO) is considered as the necessary technique for the extremely low k1 lithography process of sub-20nm node. The ideal curvilinear mask design for computational lithography gives the impacts and requires many changes on the photomask fabrication from mask data preparation to measurement and inspection. In this paper, we present the current status and new requirements for the computational lithography mask in viewpoint of the manufacturability for mass production. The manufacturability of computational lithography mask can be realized by the predictable and manageable patterning quality. Here, we have proposed new data flow for ILT which covers what the preferred target design is for ILT, new verification method, required mask model accuracy, and resolution improvement method. Furthermore, considering acceptable writing time (<24 hours) and computation limit on convolution, the current ILT technique is shown to have the limit of application area. Keywords: photomask, lithography, ILT, model based fracturing 1. INTRODUCTION The patterning resolution of lithography process is governed roughly by this well known formula, that is, Rayleigh equation. pitch λ CD = = k1, (1) 2 NA where, CD is the critical dimension of pattern which corresponds to the half pitch in case of 1:1 line and space pattern, λ is wavelength of light, and NA is numerical aperture of projection optics for lithography. According to equation (1), smaller resolution can be achieved by smaller λ and higher NA. Here, k1 value is defined by equation (1) and it represents the contrast of aerial image and the difficulty of fabrication process. Given equation (1), the process margin for wafer patterning can be understood to be decreased as the pitch of device decreases. To overcome this limit of patterning process, several candidate lithography techniques have been proposed, for example, multiple patterning technology, EUV lithography, and computational lithography, and so on. Among these lithography techniques, the computational lithography such as ILT has been attracted because it does not require additional process and expensive cost if the OPC (optical proximity correction) can obtain the accurate inverse solution and the photomask can be written accurately. Optical Microlithography XXVI, edited by Will Conley, Proc. of SPIE Vol. 8683, 86830L 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol L-1

2 2. TRADEOFF BETWEEN MASK WRITING TIME AND DESIGN COMPLEXITY Figure 1 and 2 show the ILT design is based on the inverse ideal solution for desired wafer target and the depth of focus can be increased 2 times larger than that of conventional OPC design [1]. s Vtia#e;- target 3oititicn r"-- co C1 C2 C3.+ C4 ILT (Maw" Con wactiond Figure 1. Mask design of ILT and conventional OPC for contact printing in wafer Figure 2. Benefit of ILT technique in view point of depth of focus The photomask for high end device is usually manufactured by the e-beam writer with variable shaped beam (VSB) mode because of high writing speed and fine patterning resolution. Because the VSB mode can generate only one rectangular or triangular beam at each shot, we can understand the ILT design has the special meaning for mask manufacturing. As shown in Fig. 1, the mask design of ILT is composed of rounded or angled pattern, many number of assist feature, and very small assist patterns. The rounded or angled pattern of ILT design should be segmented finely by fracturing step, so that the number of shot increases explosively. The total writing time of VSB mask writer is calculated by the equation (2) based on current density, dose, number of shot, etc. D Mask writing time = N where, N S : Number of shot N P : Number of pass D: Dose (uc/cm2) J: Current density (A/cm2) t s : Settling time of deflector (nsec) t o : Overhead time (stage movement, etc.) S N P ( N P + t J s ) + t o (2) Proc. of SPIE Vol L-2

3 Here, we have introduced the shot complexity S and this can reduce above formula of writing time into simple equation [2]. S = (NI / NR ) (n / 0.5) (3) Mask writing time = {T N (N I / N = (T S)+t N o R ) (n / 0.5)}+ t o (4) where, T N : Normal writing time (at reference design) N I : Average shot count of interest mask in unit area N R : Average shot count of reference design in unit area n: pattern density Reference design: Net lines with 50% pattern density The shot complexity S is defined by the average shot count of interest design with respect to the 50 percent net line and we also added the effect of pattern density, n. The SEM images of figure 3 shows the examples on shot complexities of ILT designs for contact printing S=6.3 s 000'0 0141` n00-1 ooç..1j01 Figure 3. Shot complexity and SEM image of ILT design for contact printing Based on equation 4, total mask writing time becomes the function of shot complexity and writer throughput. In case of 100A current density and 70nsec settling time, the mask writing time of 15nm device node becomes about 40 hours at shot complexity of 4. Figure 4. Mask writing time according to half pitch of device and shot complexity (S) In case of 800A current density, the writing time decreases and shorter than 24hours. This means the shot complexity of 12 is acceptable and the complex ILT mask can be realizable. However, if the pattern density is considered we can understand the mask writing is still big problem for ILT manufacturing. Proc. of SPIE Vol L-3

4 (a) Conditionl: J= 800A/cm2, ts=l0nsec -e-s=1-0-s=4 O S=6 S=9 ts=12 S =12 case is realistic! HP (nm) (b) % density -0-40% density O 50% density -O 60% density x-70% density HP (nm) Figure 5. Mask writing time according to shot complexity (S) and pattern density (n) 3. MODEL-BASED FRACTURING AND ITS VERIFICATION ISSUE In recent, many EDA (Electronic design automation) companies for mask data handling and some institutes have proposed the data handling techniques for shot number reduction as efficient fracturing method [3]. Here, we will call that technology as model based fracturing, simply as MBF. Ideal curvilinear S shots S shots S shots r <Benefit of overlap shot > Shot # optimization by overlap shot S shots d u ro = Ó Overlap size i Figure 6. Shot number reduction by model based fracturing technique. Based on model based fracturing we have confirmed that the ideal curvilinear pattern can be optimized from shot complexity of 23.6 to 9.9 complexity by using overlap shot and they have the same patterning performance in mask and wafer plane. Because model based fracturing is totally different approach for conventional data fracturing, there are many issue points for the realization of that technology. One of the big problems is how to convert the design target and how to guarantee the quality of the converted result. The conventional fracturing result can be checked by XOR Boolean operation as verification step. However, the design data of MBF is generated, rather than fractured, by targeting desired mask or wafer pattern. Furthermore, small edge error of high spatial frequency should be accepted for the tolerance of the shot optimization [4]. Given these background, here, we propose new data flow for model based fracturing, as shown in figure 7. Proc. of SPIE Vol L-4

5 CAD data OPC* Verification Fracturing MBF* Mask model A XOR verification e -beam writing -> MPV* I Measurement & Inspection Figure 7. Data flow for model based fracturing. Conventional data flow for mask writing is straightforward. CAD data is processed by optical proximity correction (OPC) and OPC verification. The OPCed design is fractured for e-beam writing and checked by XOR verification. On the other hand, the model based fracturing should use different data flow. At first, accurate mask model should be prepared for model based fracturing. Then the optimized result by MBF should be verified. This is model based pattern verification (MPV) step. The result of manufactured mask should be checked by measurement and inspection, and the information should be feed backed into mask model and model based fracturing step. To make MBF efficiently, the information on inefficiency of MBF should be transferred to OPC and OPC verification step. According to this data flow it is possible to think following 4 items should be developed for the manufacturability of ILT mask. For the ILT mask we should considered what the best choice as the mask design is; segmented design or ideal diagonal design. Second, while we accept a reasonable EPE (edge placement error) for shot optimization, how can we verify the MBF result with satisfactory accuracy? Third, MBF and MPV are based on the function to predict the mask pattern by using mask model, so that the model accuracy and its coverage range should be considered. Fourth, since the ILT corresponds to the inverse solution for the desired wafer target, various kinds of assist feature should be patterned and the fidelity and resolution is the important performance for ILT. a. Target design consideration for ILT Based on numerical calculation, we have compared the accuracy between segmented diagonal design and ideal diagonal design as the target for model based fracturing. The convolution calculation, as explained in figure 8, proves that the ideal target design has smaller patterning error due to the mask model error than segmented diagonal case. Proc. of SPIE Vol L-5

6 Target design Segmented design, Ds(x) MINAC(x) Mask contour S DI NAC(x) MAC(x) > Mask contour SDAC(x) A Diff1 MINAC(x): Inaccurate mask model MAC(x): Accurate mask model MAC(x): MINAC(x) + Q(x) Ds(X) MINAC(x) = MBF1(x) Qx MINAC(x) + R1 SDAC(x) = Ds(X) MAC(x) SFAC(x) = MBF1(x) Qx MAC(x) MBF1 + R1 (residual) Optimization MAC(x) V Mask contour SFAC(x) Diff1 = SFAC(x) - SDAC(x) _ {MBF1(x)- Ds(X)} MAC(x) _ {MBF1(x) Q Q(x)} -{Ds(X) Q Q(x)} - R1 Target design Ideal curvilinear, Dc(x) MBF2 + R2 (residual) Optimization MAC(x) > D iff2 V Mask contour IFAC(x) D c(x) = MBF2(x) Qx MINAC(x) + R2 IFAC(x) = MBF2(x) Qx MAC(x) D iff2 = IFAC(x) - Dc(x) = MBF2(x) Qx MAC(x) - Dc(x) _ {MBF2(x) Q Q(x)} - R2 <Diff1>- <Diff2> _ <Ds(x) Q Q(x)> : Positive If, <MBF1(x) O Q(x)> _ <MBF2(x) O Q(x)> <R1>= <R2> Figure 8. MBF accuracy estimation according to target designs Second thing is how to verify the MBF converted data from ideal diagonal design and the mask patterning result. Like conventional mask process, design data is converted by MDP software but special verification algorithm should be added in mask plane verification and wafer plane verification. The obtained e-beam data is used for mask writing and the mask pattern quality is checked by SEM measurement and inspection tools. Design Mask Data Prep (using MBF) Simulation Mask writing SEM measurement Inspection Mask pattern Simulation Aerial image simulation Shot number, Writing time, Beam stability CD unif, CD contour, Model verify Die -to -die Inspection w.r.t. reference Verification-7 Figure 9. Process flow for ILT mask manufacturing Here, we propose the aerial image simulation of mask scale as new verification method of MBF result for ILT mask. Because the edge placement error become ambiguous criteria in case of MBF due to the patterning tolerance for shot optimization, we have used the aerial image simulation for verification. Compared with the aerial image simulation of wafer scale, the mask scale aerial image simulation is higher sensitive and if the aerial image difference is defined as AID of figure 10, we can obtain the mask scale simulation is about 40% higher sensitive, as shown in figure 10. Proc. of SPIE Vol L-6

7 Ideal design Segmented design Il II AI: Aerial image II: AI(ideal design) II +1: AI(ideal Biased +1nm) II_l: AI(ideal Biased -1nm) ref: II +1- II Is,h: AI(segmented height h) AID=Is,h- II /Iref : Normalized Al difference o Step height (nm) 100 Figure 10. Advantage of mask scale aerial image simulation Because model based fracturing and model based pattern verification are based on model accuracy, the required accuracy and the effect of model error have been considered. If the mask model is assumed to be multiple Gaussian functions and mask patterning process is linear system, the patterning effect due to mask model error gives rise to size error at corner and edge according to the relation between pattern shape and interaction range of model. PSF of mask model : F exp(- (x/sigmashort) ^2) + ï exp(- (x/sigma1ony) ^2) where, Sigmashort < D2 < Sigma1oy is assumed Target Mask contour 1) If Sigmashort has error 2) If Sigma1o9 has error Figure 11. Effect on mask pattern simulation due to mask model error At a specific pattern of ILT we have obtained the model sensitivity on CD error due to model error is about CD error of 0.5nm per 3% model error. Because the ILT design corresponds to the inverse solution for the desired wafer target, the ILT design could have many and small assist features. Here, we have defined the effectiveness E of assist feature as the total intensity of the aerial image with respect to the target area. Figure 12 shows the effectiveness E rapidly decreases as the pattern size decreases. This graph shows the smaller assist feature than 35nm is not effective, so that OPC should consider the balance between the effectiveness of assist feature and the burden on photomask patterning. Proc. of SPIE Vol L-7

8 Al: Aerial image Effectiveness of assist feature at OPC, E E = F I AI(x,y) ktarget area x n) where, n is normalization coefficient Mask pattern size (nm) AI(x,y) of single contact 90nm size 60nm size 30nm size Figure 12. Effectiveness of assist feature with respect to the pattern size In last year the idea for resolution improvement of ILT design has been reported by our group. By using overlap shot at the design edge and corner we can obtain the improved patterning resolution [5]. c,--,,,- :.T%`. m Dose increase Conventional VSB writing Improvement by overlap shot Figure 13. Pattern fidelity improvement of ILT design by overlap shot Until now we have discussed about the required development items for ILT mask patterning. Now, let s consider the manufacturing possibility of ILT mask that means allowable manufacturing area and requirements for future. Considering writing time (<24 hours) and computation limit on convolution the current ILT manufacturing have the limit of application area. Proc. of SPIE Vol L-8

9 for MBF or MPV PAl$ei'% area (iiiritz) Figure 14. (a) Allowable max. shot complexity within 24hr writing time and (b) Estimated computation time for MBF or MPV. In figure 14(a) the x axis and y axis show pattern density and the shot complexity, respectively. By the writing time calculation, the dot graph of figure 14(a) represents the 24 hour writing time. Because ILT design uses high shot complexity, the allowable pattern density exists. Here, the pattern density is defined by the pattern area divided by total area. On the other hands, the MBF and MPV are based on convolution calculation between pattern designs and mask model. Considering the computation time for the convolution, we can understand the allowable pattern area also exists. This means the total area of ILT application in full chip is limited. To increase the application area in full chip the high throughput writer and computation method for full-chip should be developed SUMMARY Computational lithography technique has many benefits such as improvement of the margin for wafer patterning process. However, there is tradeoff relation between mask writing time and design complexity. Here, for ILT mask manufacturing, we have proposal new data flow which covers what the preferred target design is for ILT, new verification method, required mask model accuracy, and resolution improvement method. Furthermore, considering acceptable writing time (<24 hours) and computation limit on convolution, the current ILT mask is shown to have the limit of application area. Based on these, high throughput writer and computation method for full-chip should be developed for the ILT-manufacturability of full chip design REFERENCES [1] B. G. Kim, et. al., Trade-off between Lithographic Performance and Mask Cost of Masks made by Inverse Lithography Technology, SPIE 7379, 73791M (2009) [2] Jin Choi et. al., E-beam Shot Count Estimation at 32 nm HP and Beyond, SPIE Vol. 7379, (2009). [3] Chua, G. S., Wang, W. L., Choi B. I., Zou, Y., Tabery, C., Bork, I., Nguyen, T., Fujimura, A., Optimization of mask shot count using MB-MDP and lithography simulation, SPIE, Vol. 8166, (2011) [4] H. Tanabe, et. al., LER transfer from a mask to wafers, SPIE Vol. 6607, 66071H (2007). [5] B. G. Kim, et. al., Improving CD uniformity using MB-MDP for 14nm node and beyond, Proc. SPIE. 8522, (2012) Proc. of SPIE Vol L-9

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC NDE-MDP Product number: w1810 Nippon Control System Total solution for mask data preparation (MDP) NDE (NCS Distributed Environment) is a distributed processing software package running on a conventional

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Shot overlap model-based fracturing for edge-based OPC layouts

Shot overlap model-based fracturing for edge-based OPC layouts Shot overlap model-based fracturing for edge-based OPC layouts Shangliang Jiang and Avideh Zakhor Signetron Inc. and University of California at Berkeley, CA, 94720, USA Email: {sjiang,avz}@eecs.berkeley.edu

More information

Sparse nonlinear inverse imaging for shot count reduction in inverse lithography

Sparse nonlinear inverse imaging for shot count reduction in inverse lithography Sparse nonlinear inverse imaging for shot count reduction in inverse lithography Xiaofei Wu, 1 Shiyuan Liu, 2 Wen Lv, 2 and Edmund Y. Lam 1, 1 Imaging Systems Laboratory, Department of Electrical and Electronic

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Rui Guo, Soo-Young Lee, Jin Choi, Sung-Hoon Park, In-Kyun

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Optical Proximity Correction with Linear Regression

Optical Proximity Correction with Linear Regression Optical Proximity Correction with Linear Regression 1 Allan Gu and Avideh Zakhor, Fellow, IEEE Department of Electrical Engineering and Computer Sciences University of California at Berkeley, CA 9472,

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Linyong Pang, Peter Hu, Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

EBL (Elionix EBeam Lithography System)

EBL (Elionix EBeam Lithography System) EBL (Elionix EBeam Lithography System) (See the Elionix Registration Instruction Manual) Authors: Nigel Carroll & Akshara Verma Date: 12 April 2016 Version: 1.0 The substrate or wafer pattern that you

More information

Approaches to the Metrology Challenges for DSA Hole

Approaches to the Metrology Challenges for DSA Hole Approaches to the Metrology Challenges for DSA Hole Masafumi Asano, Kazuto Matsuki, Tomoko Ojima, Hiroki Yonemitsu, Ayako Kawanishi, Miwa Sato 2015 Toshiba Corporation Introduction Outline Metrology for

More information

Fundamental Optics for DVD Pickups. The theory of the geometrical aberration and diffraction limits are introduced for

Fundamental Optics for DVD Pickups. The theory of the geometrical aberration and diffraction limits are introduced for Chapter Fundamental Optics for DVD Pickups.1 Introduction to basic optics The theory of the geometrical aberration and diffraction limits are introduced for estimating the focused laser beam spot of a

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape.

True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape. True Three-Dimensional Proximity Effect Correction in Electron-beam Lithography: Control of Critical Dimension and Sidewall Shape by Qing Dai A dissertation submitted to the Graduate Faculty of Auburn

More information

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired tangential form. (a) The light from the sources and scatterers in the half space (1) passes through the

More information

High Throughput Maskless Lithography

High Throughput Maskless Lithography High Throughput Maskless Lithography Sokudo lithography breakfast forum July 14 th 2010 Bert Jan Kampherbeek, VP Market Development and co-founder Agenda MAPPER s Objective MAPPER s Status MAPPER s Roadmap

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Optical Proximity Correction with Hierarchical Bayes Model

Optical Proximity Correction with Hierarchical Bayes Model Optical Proximity Correction with Hierarchical Bayes Model Tetsuaki Matsunawa a, Bei Yu b and David Z. Pan b a Center for Semiconductor Research & Development, Toshiba Corp., Kawasaki, Japan b ECE Department,

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist

Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist Application of neural network to controlling three-dimensional electron-beam exposure distribution in resist C. Guo and S.-Y. Lee a Department of Electrical and Computer Engineering, Auburn University,

More information

3D X-ray Laminography with CMOS Image Sensor Using a Projection Method for Reconstruction of Arbitrary Cross-sectional Images

3D X-ray Laminography with CMOS Image Sensor Using a Projection Method for Reconstruction of Arbitrary Cross-sectional Images Ke Engineering Materials Vols. 270-273 (2004) pp. 192-197 online at http://www.scientific.net (2004) Trans Tech Publications, Switzerland Online available since 2004/08/15 Citation & Copright (to be inserted

More information

Progress In Electromagnetics Research M, Vol. 20, 29 42, 2011

Progress In Electromagnetics Research M, Vol. 20, 29 42, 2011 Progress In Electromagnetics Research M, Vol. 20, 29 42, 2011 BEAM TRACING FOR FAST RCS PREDICTION OF ELECTRICALLY LARGE TARGETS H.-G. Park, H.-T. Kim, and K.-T. Kim * Department of Electrical Engineering,

More information

Offset Triangular Mesh Using the Multiple Normal Vectors of a Vertex

Offset Triangular Mesh Using the Multiple Normal Vectors of a Vertex 285 Offset Triangular Mesh Using the Multiple Normal Vectors of a Vertex Su-Jin Kim 1, Dong-Yoon Lee 2 and Min-Yang Yang 3 1 Korea Advanced Institute of Science and Technology, sujinkim@kaist.ac.kr 2 Korea

More information

A tutorial for the CAD program LayoutEditor. by Jürgen Thies, Juspertor UG, Munich

A tutorial for the CAD program LayoutEditor.  by Jürgen Thies, Juspertor UG, Munich A tutorial for the CAD program LayoutEditor LayoutEditor is available from www.layouteditor.net by Jürgen Thies, Juspertor UG, Munich M. Rooks, Yale University Common terminology Layers Cells Top-level

More information

Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography

Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography 1 Flexible Packed Stencil Design with Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography Chris Chu, Fellow, IEEE and Wai-Kei Mak, Member, IEEE Abstract Electron-beam lithography has

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Measurement of Highly Parabolic Mirror using Computer Generated Hologram

Measurement of Highly Parabolic Mirror using Computer Generated Hologram Measurement of Highly Parabolic Mirror using Computer Generated Hologram Taehee Kim a, James H. Burge b, Yunwoo Lee c a Digital Media R&D Center, SAMSUNG Electronics Co., Ltd., Suwon city, Kyungki-do,

More information

Optical Proximity Correction with Principal Component. Regression

Optical Proximity Correction with Principal Component. Regression Optical Proximity Correction with Principal Component Regression Peiran Gao, Allan Gu and Avideh Zakhor Video and Image Processing Lab Department of Electrical Engineering and Computer Science University

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

EBL (Elionix EBeam Lithography System) CAD Procedure

EBL (Elionix EBeam Lithography System) CAD Procedure EBL (Elionix EBeam Lithography System) CAD Procedure Authors: Nigel Carroll & Akshara Verma Date: 12 April 2016 Version: 1.0 To create a pattern within a single field 1. Open CAD software 2. Click on Settting

More information

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD Hiroaki Nishioka, Satoru Takahashi Kiyoshi Takamasu Department of Precision Engineering, The University of Tokyo,

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

Development of a Simulation Method of Three-Dimensional Ultrafine Processing by Femtosecond Laser Shunsuke Nabetani 1, a, Hideki Aoyama 1, b * 1, 2, c

Development of a Simulation Method of Three-Dimensional Ultrafine Processing by Femtosecond Laser Shunsuke Nabetani 1, a, Hideki Aoyama 1, b * 1, 2, c Development of a Simulation Method of Three-Dimensional Ultrafine Processing by Femtosecond Laser Shunsuke Nabetani 1, a, Hideki Aoyama 1, b * 1,, c, Masahiro Ueda Yoshinori Ogawa 1,, d 1,, 3, e, and Kazuo

More information

Automatic NC Part. Programming Interface for a UV Laser Ablation Tool

Automatic NC Part. Programming Interface for a UV Laser Ablation Tool Automatic NC Part Programming Interface for a UV Laser Ablation Tool by Emir Mutapcic Dr. Pio Iovenitti Dr. Jason Hayes Abstract This research project commenced in December 2001 and it is expected to be

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Designing projection objectives for 11-nm node of extreme ultraviolet lithography

Designing projection objectives for 11-nm node of extreme ultraviolet lithography Designing projection objectives for 11-nm node of extreme ultraviolet lithography Zhen Cao Yanqiu Li Fei Liu J. Micro/Nanolith. MEMS MOEMS 13(3), 3314 (Jul Sep 14) Designing projection objectives for 11-nm

More information

Curved Projection Integral Imaging Using an Additional Large-Aperture Convex Lens for Viewing Angle Improvement

Curved Projection Integral Imaging Using an Additional Large-Aperture Convex Lens for Viewing Angle Improvement Curved Projection Integral Imaging Using an Additional Large-Aperture Convex Lens for Viewing Angle Improvement Joobong Hyun, Dong-Choon Hwang, Dong-Ha Shin, Byung-Goo Lee, and Eun-Soo Kim In this paper,

More information

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing Youngji Yoo, Seung Hwan Park, Daewoong An, Sung-Shick Shick Kim, Jun-Geol Baek Abstract The yield management

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Crystal Quality Analysis Group

Crystal Quality Analysis Group Crystal Quality Analysis Group Contents Contents 1. Overview...1 2. Measurement principles...3 2.1 Considerations related to orientation and diffraction conditions... 3 2.2 Rocking curve measurement...

More information

Optics for nonlinear microscopy

Optics for nonlinear microscopy Optics for nonlinear microscopy Nonlinear microscopy Dispersion management Compact housing In-line input/output apertures High throughput Robust mechanical design Latest generations of Dispersive Mirrors

More information

Chapter 7. Widely Tunable Monolithic Laser Diodes

Chapter 7. Widely Tunable Monolithic Laser Diodes Chapter 7 Widely Tunable Monolithic Laser Diodes We have seen in Chapters 4 and 5 that the continuous tuning range λ is limited by λ/λ n/n g, where n is the index change and n g the group index of the

More information

A Global Laser Brand. Versatile Module

A Global Laser Brand. Versatile Module A Global Laser Brand Versatile Module The Versatile Module The VM (Versatile Module) from Imatronic provides a high quality and cost effect OEM solution to a wide range of applications including Machine

More information

Electron- and Laser-Beam Lithography Software

Electron- and Laser-Beam Lithography Software Electron- and Laser-Beam Lithography Software Optimum productivity, quality and innovation by advanced data preparation for electron- and laser-beam lithography systems 2 8 Electron- and Laser-beam Lithography

More information

Optical simulations within and beyond the paraxial limit

Optical simulations within and beyond the paraxial limit Optical simulations within and beyond the paraxial limit Daniel Brown, Charlotte Bond and Andreas Freise University of Birmingham 1 Simulating realistic optics We need to know how to accurately calculate

More information

TV packaging optimization of the frontal drop impact using equivalent static loads

TV packaging optimization of the frontal drop impact using equivalent static loads 11 th World Congress on Structural and Multidisciplinary Optimisation 7-12, June 2015, Sydney Australia TV packaging optimization of the frontal drop impact using equivalent static loads Insik Han 1, Youngmyung

More information

Projection simulator to support design development of spherical immersive display

Projection simulator to support design development of spherical immersive display Projection simulator to support design development of spherical immersive display Wataru Hashimoto, Yasuharu Mizutani, and Satoshi Nishiguchi Faculty of Information Sciences and Technology, Osaka Institute

More information

Innovations in beam shaping & illumination applications

Innovations in beam shaping & illumination applications Innovations in beam shaping & illumination applications David L. Shealy Department of Physics University of Alabama at Birmingham E-mail: dls@uab.edu Innovation Novelty The introduction of something new

More information

LASer Cavity Analysis and Design

LASer Cavity Analysis and Design The unique combination of simulation tools for LASer Cavity Analysis and Design During the last 15 years LASCAD has become industry-leading so ware for LASer Cavity Analysis and Design. The feedback from

More information

A recursive cost-based approach to fracturing

A recursive cost-based approach to fracturing A recursive cost-based approach to fracturing Shangliang Jiang, Xu Ma, and Avideh Zakhor Department of Electrical Engineering and Computer Sciences University of California at Berkeley, CA, 94720, USA

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

A Global Laser Brand. Gated Cameo

A Global Laser Brand. Gated Cameo A Global Laser Brand The is a miniature laser diode module that has a threaded barrel for easy bulkhead mounting which ensures good thermal contact between module and heat sink. This new concept in laser

More information

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS J. KORNIS, P. PACHER Department of Physics Technical University of Budapest H-1111 Budafoki út 8., Hungary e-mail: kornis@phy.bme.hu, pacher@phy.bme.hu

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

COHERENCE AND INTERFERENCE

COHERENCE AND INTERFERENCE COHERENCE AND INTERFERENCE - An interference experiment makes use of coherent waves. The phase shift (Δφ tot ) between the two coherent waves that interfere at any point of screen (where one observes the

More information

Physical stuff (20 mins) C2S2 Workshop 7/28/06

Physical stuff (20 mins) C2S2 Workshop 7/28/06 Physical stuff (20 mins) C2S2 Workshop 7/28/06 Clive Bittlestone TI Fellow Nagaraj NS DMTS, Roger Griesmer SMTS Carl Vickery SMTS Gopalarao Kadamati MGTS Texas Instruments Texas Instruments 2004,2005,2006

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Device Overlay Method for High Volume Manufacturing

Device Overlay Method for High Volume Manufacturing Device Overlay Method for High Volume Manufacturing Honggoo Lee a, Sangjun Han a, Youngsik Kim a, Myoungsoo Kim b, Hoyoung Heo c, Sanghuck Jeon c, DongSub Choi c, Jeremy Nabeth d, Irina Brinster d, Bill

More information

GPU-based MRC Methods for Overlapping ebeam Shots. Kokoro Kato, Ph.D. Hitachi High-Tech Science Corporation

GPU-based MRC Methods for Overlapping ebeam Shots. Kokoro Kato, Ph.D. Hitachi High-Tech Science Corporation GPU-based MRC Methods for Overlapping ebeam Shots Kokoro Kato, Ph.D. Hitachi High-Tech Science Corporation 1 Contents 1. Model Based MDP (MB-MDP) 2. GPU computing and its benefits 3. Key points of GPU

More information

Thread Mountable Cameo Laser Diode Module

Thread Mountable Cameo Laser Diode Module Thread Mountable Cameo Laser Diode Module Thread Mountable Cameo The Cameo is a unique, versatile, high quality industrial laser diode module widely used in alignment applications. Available in two models,

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

NUMERICAL METHOD TO ESTIMATE TOLERANCES COMBINED EFFECTS ON A MECHANICAL SYSTEM

NUMERICAL METHOD TO ESTIMATE TOLERANCES COMBINED EFFECTS ON A MECHANICAL SYSTEM INTERNATIONAL DESIGN CONFERENCE - DESIGN 2004 Dubrovnik, May 18-21, 2004. NUMERICAL METHOD TO ESTIMATE TOLERANCES COMBINED EFFECTS ON A MECHANICAL SYSTEM D. Cambiaghi, A. Magalinia and D. Vetturi Keywords:

More information

A Video Watermarking Algorithm Based on the Human Visual System Properties

A Video Watermarking Algorithm Based on the Human Visual System Properties A Video Watermarking Algorithm Based on the Human Visual System Properties Ji-Young Moon 1 and Yo-Sung Ho 2 1 Samsung Electronics Co., LTD 416, Maetan3-dong, Paldal-gu, Suwon-si, Gyenggi-do, Korea jiyoung.moon@samsung.com

More information

New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion

New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion New Edge-Enhanced Error Diffusion Algorithm Based on the Error Sum Criterion Jae Ho Kim* Tae Il Chung Hyung Soon Kim* Kyung Sik Son* Pusan National University Image and Communication Laboratory San 3,

More information