CHAPTER 3 SIMULATION TOOLS AND

Size: px
Start display at page:

Download "CHAPTER 3 SIMULATION TOOLS AND"

Transcription

1 CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation part of the project. Simulation tools from ISE include GENESISe, MDRAW, MESH3D and DESSIS. They are mainly applied in the study of a three-dimensional (3-D) structure device simulation. Due to the lack of proper oxidation models, 3-D process simulation becomes inadequate. The next best thing will be to employ pseudo-3d process simulation to simulate and analyze the corner inversion and rounding effects in a tri-gate structure using non-uniform junction doping profile (From TSUPREM4 tif file) in which the methodology will be covered in the later section of this chapter. Due to the fact that it is the first time that a Gate-All-Around (GAA) device is being fabricated using 0.13um technology node locally, some simulations have to be carried out to predict certain implantation conditions of the GAA electrical wafers so that success of fabrication is increased. Using the simulation tools namely TSUPREM4 and MEDICI from SYNOPSYS, the predictions are made using a calibrated 0.13um simulation deck from Chartered Semiconductors Manufacturing. 22

2 The methodology of calibrating a simulation deck before any significant predictions can be made will be elaborated in this chapter as well. In addition, this chapter proposes to discuss the different simulation software used in this project as follows. 3.1 ISE Simulation tools ISE is a world leading provider of Technology CAD (TCAD) software that covers the entire range from process simulation to device and circuit simulation. ISE tools are particularly suited for emerging technologies and complex applications including sub-100nm and non-planar CMOS, quantum devices and nanoelectronics. In this project, 3D mesh and device simulation tools were applied. The software used included GENESISe, MDRAW, MESH3D and DESSIS GENESISe GENESISe is ISE s primary user interface for TCAD simulation, providing access to all ISE software. It is a graphical user interface used to design, organize and run complete simulation projects. Apart from that, it comes with an integrated job scheduler to speed up simulations and take advantage of distributed, heterogeneous, corporate computing resources. Lastly, GENESISe provides the user with a convenient framework to drive the large variety of ISE simulation and visualization 23

3 tools and other third-party tools like TSUPREM4 that will be described in the methodology section in this chapter MDRAW MDRAW is part of the ISE TCAD environment and includes the following Boundary editor Doping and refinement editor Scripting engine that follows the TCL language syntax Meshing engine Each of these is used to create boundary, doping and refinement information and meshes adequate for device simulation. MDRAW contains two separate environments: a boundary editor and a doping and refinement editor. Both use the same graphical user interface (GUI) components and are applied in MDRAW to generate and modify TCAD models to meet specific simulation requirements MESH3D The mesh generator MESH3D is a dimension independent and modular Delaunay grid generator, which is suitable for semiconductor device simulation. 24

4 MESH generates high quality spatial discretizations for 3D devices using a predefined set of mixed-elements types. Taking some of the best meshing algorithms available and innovative ideas and procedures, MESH is a modular, dimensionindependent mesh generation tool kit. In 3-D, grids for complex nonplanar devices can be generated. MESH3D is also the grid generation engine that is used inside MDRAW. By default, MDRAW generates a mesh without obtuse angles and MESH3D produces less restrictive Delaunay meshes. MESH3D is fully integrated into the ISE environment. The DF-ISE boundary representation is used as input for MESH3D. Impurity concentrations and userrequired element sizes can be described using dimension-independent syntax. The same description of analytical profiles can be used in 3D. The grid can be adapted to analytical profiles or profiles generated by DIOS and TSUPREM4, which is a powerful feature of this software DESSIS DESSIS simulates numerically the electrical behavior of a single semiconductor device in isolation or several physical devices combined in a circuit. Terminal currents, voltages and charges are computed based on a set of physical device equations that describes the carrier distribution and conduction mechanisms. 25

5 In this project, device simulator, DESSIS is used to simulate a 3-D tri-gate structure that incorporates a TSUPREM4 doping profile. 3.2 SYNOPSYS Simulation tools Synopsys is the world leader in electronic design automation (EDA) software for semiconductor design. The company delivers technology-leading semiconductor design and verification platforms to the global electronic market, enabling the development of complex systems-on-chips (SoCs). With the range of TCAD software provided, Synopsys has satisfied a long-identified need in IC design, technology development and semiconductor fabrication. Growing industry acceptance of TCAD (technology computer-aided design) simulation tools namely TSUPREM4 and MEDICI has broadened their use from the Research and Development scientist to the front-line engineer. Through the use of the process and device simulators, TSUPREM4 and MEDICI, certain implantation conditions are set while processing the electrical wafers for Gate-All-Around transistors Taurus-TSUPREM4 Taurus-TSUPREM4 is the industry-standard process simulation tool that is widely used by semiconductor companies to optimize IC fabrication processes. With the most advanced models commercially available, Taurus-TSUPREM4 simulates the process steps encountered in today s semiconductor devices and predicts the resulting 26

6 device structure, eliminating the need for costly experiments. Thus, Taurus- TSUPREM4 can help to optimize device geometry and doping to reduce product development cycles and shorten product time to market. It provides the following benefits: Predict one and two dimensional device structure characteristics by accurately simulating ion implantation, diffusion, oxidation, silicidation, epitaxy, etching and deposition. Determine basic electrical device characteristics, such as sheet resistance, threshold voltage and C-V curve (including quantum mechanical correction) Create process structures for two dimensional device analysis using SYNOPSYS Taurus-MEDICI Taurus-MEDICI Taurus-MEDICI is SYNOPSYS industry-standard device simulation tool that predicts electrical, thermal and optical characteristics of semiconductor devices. A wide variety of devices can be modeled in one, two or three dimensions including MOSFETS, power devices and multiple gate structures. With the most advanced physical models commercially available, Taurus-MEDICI allows device designs to be 27

7 optimized for best performance without fabrication, eliminating the need for costly experiments. It provides the following features: Analyze electrical, thermal and optical characteristics of your devices through simulation without having to manufacture the actual devices Reduce the number of potential wafers used in the actual experiment Understand internal device operation through potential, electric field, current density Optimize device designs without and find ideal structural parameters 3.3 Simulation Methodology using SYNOPSYS TCAD tools Before any TCAD calibration, it is very crucial to build simulation decks that are as detailed as possible to the actual process. Hence, the initial stage of calibration always begins with data and information collection to construct reliable simulation decks for calibration. With these essential data and information, simulation decks for process and device simulations can be built using SYNOPSYS process simulator (TSUPREM4) and device simulator (MEDICI). The following sub-sections would highlight more of the information required to construct reliable simulation decks before any significant process predictions can be made. These sub-sections include Process Flow, Recipes, Physical Device Structures, Implantation Profiles and Grid Setting. 28

8 Construction of Simulation Decks based on Process Flow and Recipes in TSUPREM4 Ensure that the Simulated CMOS Device Structure in the process is based on In-Line Measurements and TEM Availability of SIMS? NO UT-MARLOWE to generate As-Implanted Doping Profiles YES Selection of Appropriate Implantation Tables in TSUPREM4 Initial Grid Setting Significant Variation in Simulated Vtlin/Idsat if Grid Lines Increased? YES Increased Grid Lines Setting NO Fixed Grid Setting and Simulation Deck Ready for Calibration Fig. 3.1 Flow chart for setting up a simulation deck for prediction of process conditions 29

9 3.3.1 Process Flow With the aim of achieving balanced accuracy and optimum resources, it is very important to identify the essential steps to be included in the simulation process. The essential and critical steps include basically oxidation, annealing cycles and implantation. All the other wafer preparation steps such as wafer clean steps can be neglected due to the fact that there is no significant thermal cycle involved that can affect the final implantation profiles in the simulated CMOS devices. Fig. 3.1 illustrate a flow chart that is required for a typical sub-0.13 µm technology CMOS device process to be calibrated. Usually NMOS device and PMOS device are constructed and simulated separately in two simulation decks so as to eliminate unnecessary masking steps in simulated process Recipes The simulated CMOS devices electrical characteristics depend on the final doping profiles of all the implantation, thus critical process steps like oxidation, thermal annealing and deposition steps which involved thermal cycles have to be accurately represented in the simulation decks. Start temperature, final temperature, ramp rate or anneal time, and flow of gases are the important parameters that need to be referenced from the actual recipes. The thermal cycles of these recipes can be 30

10 implemented using DIFFUSION statement in TSUPREM4, which is a command for high temperature diffusion in both oxidizing and non-oxidizing ambient. Choice of ambient includes dry O 2, wet O 2, steam and inert, whereas choice of gas flows includes O 2, H 2, N 2 ad HCl It is important to follow as detailed as possible to the recipes, including the ramping up and down of temperature. All thermal steps starting from 550 C have to be included for accuracy. The amount of gas flows for each gas input has to be reflected in the simulation with the correct proportion as shown in Fig Fig. 3.2 A typical gate oxide recipe implemented in a simulation deck Physical Device Structures There is an absolute need to evaluate the actual and final dimensions of the processed CMOS devices to make the simulation as close to the actual experiment as possible. These dimensions can be obtained from all the in-line measurements that are 31

11 being carried out during the manufacturing process. The physical parameters are gate oxide thickness, physical gate length, sacrificial oxide thickness (before any implantation) and spacer dimensions. Alternatively, images from Transmission Emission Microscopy (TEM) can also be used to provide reference for construction of the simulated CMOS device structures. The device dimensions such as polysilicon gate length, gate oxide thickness and spacer dimensions are very important as they could affect the electrical performance significantly. For example in simulation, a 1% change in either physical gate length or gate oxide thickness will result in approximately a 1% change in Idsat. For CMOS devices with 0.13 µm gate length and 2 nm gate oxide, 1% variation in these key parameters imply metrology requirements of 1.3 nm for the polysilicon length and 0.02 nm for the oxide thickness. Spacer dimensions would affect all the implantation steps that are performed after spacer formation (eg. source/drain implantation), hence determine the profiles and concentrations of these impurities away from the channel regions. Thus correct representation of space dimensions in simulations can simplify the calibration of the lateral influence of the source/drain implantation, which determined the short channel effect. The physical thickness of sacrificial oxide prior to any implantation also needs to be correctly measured, so as to generate the correct implantation profiles in the simulations. 32

12 3.3.4 Implantation Profiles In order to closely approximate the actual device performance in simulations, it is very important to have the correct implantation profiles in the TCAD process simulator. Since there are many built-in implantation tables or databases for selection in TSUPREM4, one of the first steps to calibration is always to identify the correct implantation tables/databases to be used for the various implantation conditions in the process. The most reliable way to select the appropriate implantation tables/databases in TSUPREM4 is to take reference from the various measured doping profiles from Secondary Ion Mass Spectroscopy (SIMS). It would be ideal if SIMS profiles of the various dopants before and after key process steps with thermal cycles are available. This would allow accurate calibration of the implantation profiles of the dopants as well as the impact of diffusion of the various thermal cycles. However, these doping profiles might not be readily available due to the high cost and long time needed to generate the SIMS profiles. Hence the next best alternative is to use ion implantation simulator to generate possible implantation profiles. These time-consuming and tedious Monte-Carlo simulations of the implantation are performed according to the implantation sequence, so as to take into account the damage from previous implantation. One of 33

13 the better-used ion implantation simulators is UT-MARLOWE. It is a software platform for simulation of ion implantation into crystalline and amorphous materials, extensively modified from MARLOWE Version 12 (developed by Mark Robinson and coworkers at Oak Ridge National Laboratory). These generated implantation profiles are then compared with the implantation profiles from the selected implantation tables, so as to ensure that the implantation profiles used in simulations can be closer to reality Grid Setting A grid structure must be defined before process simulation can start. It can be done by explicitly specifying the locations and spacing of grid lines, or automatically by generating a grid given the width and the location of mask edges. All the griddefining commands are listed under MESH and LINE statement in TSUPREM4. MESH statement specifies a grid spacing scale factor and other default values for controlling automatic grid generation. LINE statement specifies a horizontal or vertical mesh line in a non-uniform rectangular grid. Usually simulations with finer grid setting would provide more accurate results since there would be more grids for statistical computation. However, the tradeoff would be longer simulation time. Thus grid setting becomes an important procedure that would optimize accuracy and simulation time. 34

14 For initial grid setting, finer grids should be set at the polysilicon gate-gate oxide-channel interface because the doping profiles at these sensitive regions would affect many electrical characteristics such as Vt of long and nominal channel devices, C-V, etc. There should be sufficient grid points, especially at the bottom of polysilicon gate, in order to have accurate doping profiles that would affect the polysilicon depletion effect. The horizontal grid lines have to be defined finer in the channel region under gate oxide (as it is the path for conduction of electrons/holes) as well as the region for the source-drain pn junction. The vertical grid lines have to be defined finer at the gate edges, as source-drain pn junction needs to be accurately represented in simulations in order to calibrate for the effective electrical gate length. In conclusion, more vertical and horizontal grid lines need to be dedicated to regions around the pn junction and polysilicon gate-gate oxide-channel interface, or any other sensitive regions as the different process demands. Thus grid setting for simulation deck is very unique to each process, depending on the CMOS device structure as well as implantation conditions that effectively determined the final pn junction. In order to minimize unnecessary simulation time, alternate vertical and horizontal grid lines can be removed in the non-critical regions. This is done with ELIMINATE statement, which eliminate mesh nodes along lines in a grid structure over a specified rectangular region. Grid setting can be adjusted according to the requirements of simulation and the simulation time/resources, by adding more 35

15 vertical and horizontal grid lines in the critical regions. Grid optimization can be performed through analyzing simulated Vt/Idsat for different grid settings. More grid lines would not be useful if the variation in simulated Vt/Idsat is insignificant. It is recommended that the total number of nodes in a simulated structure be less than 5000 nodes in order to achieve efficient simulations. 3.4 Simulation Methodology using ISE TCAD tools The software from Industrial Systems Engineering (ISE) namely MDRAW, MESH3D and DESSIS [19] were used in this work. As 3D process simulation is inadequate due to the lack of proper oxidation models, the next alternative is to carry out a pseudo 3-D simulation where 2-D process simulation data in different regions is used to construct the 3-D device structure. Firstly the 2-D geometry is created using a boundary editor, MDRAW from ISE. The boundary editor is used to create, modify and visualize a device structure. Then using interfise command, it converts the TSUPREM4 file type formats to DF- ISE format to be incorporated into the 2-D geometry. Then the structure is extended to form the channel region. Together with different 2-D cross sections that are extended, the different boundaries are combined to form the final 3-D structure. A summary of the simulation methodology is shown in Fig

16 Fig. 3.3 Simulation methodology showing a partial 3D simulation obtained with a realistic doping profile imported from TSUPREM4 Then the device simulator, DESSIS is used to simulate the electrical characteristics of the structure in 3-D as shown in Fig. 3.4 S G BOX D Sub Fig. 3.4 Final pseudo 3-D simulation structure with a realistic 2-D doping profile from TSUPREM4 showing the corner of the fin being rounded. 37

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL 97 APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL INTRODUCTION TCAD (Technology Computer Aided Design) is a technology that solves the equations representing the manufacturing process of Large Scale Integration

More information

3D Detector Simulation with Synopsys TCAD

3D Detector Simulation with Synopsys TCAD Journée de la simulation 17/6/2013 3D Detector Simulation with Synopsys TCAD V. Gkougkousis1,2, A. Lounis 1,2, N. Dinu 1, A. Bassalat 1,3 1. Laboratoire de L'accélérateur Linéaire 2. Université Paris-SUD

More information

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 TSUPREM-4 can be used for predicting the characteristics of piezoresistors created by doping of silicon. However, some care must be taken

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

Automatic Device Design Optimization with TCAD Frameworks

Automatic Device Design Optimization with TCAD Frameworks Automatic Device Design Optimization with TCAD Frameworks M. Stockinger and S. Selberherr Institute for Microelectronics, TU Vienna Gusshausstrasse 27-29, A-040 Vienna, Austria, selberherr@tuwien.ac.at

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

25 nm Omega FinFET: Three-dimensional Process and Device Simulations

25 nm Omega FinFET: Three-dimensional Process and Device Simulations 25 nm Omega FinFET: Three-dimensional Process and Device Simulations Abstract This Sentaurus simulation project provides a template setup for three-dimensional process simulation and device simulations

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

ISE Integrated Systems Engineering Release 9.0. Part 12 MESH

ISE Integrated Systems Engineering Release 9.0. Part 12 MESH ISE Integrated Systems Engineering Release 9.0 Part 12 MESH Contents MESH 1 Introduction... 12.1 1.1 About this manual...12.1 1.2 Scope of the manual...12.1 1.3 Terms and conventions...12.1 2 Getting started...

More information

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc.

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc. Application Note LDMOS Device and Process Simulation Updated 2016.3 Crosslight Software Inc. Abstract--A technology template for the process and device simulation of a lateral double-diffused MOS (LDMOS)

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Level set modeling of the orientation dependence of solid phase epitaxial regrowth

Level set modeling of the orientation dependence of solid phase epitaxial regrowth Level set modeling of the orientation dependence of solid phase epitaxial regrowth Saurabh Morarka a Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611-6200

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before

AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE. Now See Deeper than ever before AUTOFOCUS SENSORS & MICROSCOPY AUTOMATION IR LASER SCANNING CONFOCAL MICROSCOPE IRLC DEEP SEE Now See Deeper than ever before Review and inspection of non visible subsurface defects Non visible and subsurface

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information

MOSFET Simulation Models

MOSFET Simulation Models MOSFE Simulation Models Dr. David W. Graham West irginia University Lane Department of Computer Science and Electrical Engineering 010 David W. Graham 1 Rigorous Modeling Requires 3D modeling equations

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

The Role of Simulation in Photovoltaics: From Solar Cells To Arrays. Ricardo Borges, Kurt Mueller, and Nelson Braga Synopsys, Inc.

The Role of Simulation in Photovoltaics: From Solar Cells To Arrays. Ricardo Borges, Kurt Mueller, and Nelson Braga Synopsys, Inc. The Role of Simulation in Photovoltaics: From Solar Cells To Arrays Ricardo Borges, Kurt Mueller, and Nelson Braga Synopsys, Inc. 1 PV System Challenges Improving PV efficiency Optimizing for design performance

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 MOTIVATION 1.1.1 LCD Industry and LTPS Technology [1], [2] The liquid-crystal display (LCD) industry has shown rapid growth in five market areas, namely, notebook computers,

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1 US 2013 0082320A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2013/0082320 A1 Alberhasky et al. (43) Pub. Date: Apr. 4, 2013 (54) STRAPPED DUAL-GATE VDMOS DEVICE (52) U.S.

More information

Introduction to Layout design

Introduction to Layout design Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: http://www.mosis.org/ 1 Introduction to

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Investigation of Diffusion Rounding for. Investigation of Diffusion Rounding for. Post-Lithography Analysis. Puneet Gupta 1, Andrew B.

Investigation of Diffusion Rounding for. Investigation of Diffusion Rounding for. Post-Lithography Analysis. Puneet Gupta 1, Andrew B. Investigation of Diffusion Rounding for Investigation of Diffusion Rounding for Post-Lithography Analysis Puneet Gupta 1, Andrew B. Kahng 2, Youngmin Kim 3*, Saumil Shah 4, and Dennis Sylvester 3 1 University

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters

Chapter 1: Measurement and Extraction of BSIM4 Model Parameters 1: Measurement and Extraction of BSIM4 Model Parameters The following section summarizes some aspects of UCB s BSIM4 Model and Agilent s IC-CAP Modeling Package to measure and extract BSIM4 model parameters.

More information

반도체공정 - 김원정. Lattice constant (Å)

반도체공정 - 김원정. Lattice constant (Å) 반도체물리 - 반도체공정 - 김원정 Semiconductors Lattice constant (Å) 1 PN junction Transistor 2 Integrated circuit Integrated circuit originally referred to a miniaturized electronic circuit consisting of semiconductor

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Difficult Challenges 65 nm, Through 2007... 2 Difficult Challenges

More information

Texas Instruments Solution for Undershoot Protection for Bus Switches

Texas Instruments Solution for Undershoot Protection for Bus Switches Application Report SCDA007 - APRIL 2000 Texas Instruments Solution for Undershoot Protection for Bus Switches Nadira Sultana and Chris Graves Standard Linear & Logic ABSTRACT Three solutions for undershoot

More information

Quokka version 2: selective surface doping, luminescence. modeling and data fitting

Quokka version 2: selective surface doping, luminescence. modeling and data fitting Quokka version 2: selective surface doping, luminescence modeling and data fitting Andreas Fell 1 *, Keith R. McIntosh 2, Malcolm Abbott 2, Daniel Walter 1 1 Australian National University, Canberra, Australia

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

VBIT COURSE MATERIAL VLSI DESIGN

VBIT COURSE MATERIAL VLSI DESIGN UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2μm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences 130 Spring 003 UNIVRSITY OF CALIFORNIA College of ngineering Department of lectrical ngineering and Computer Sciences Term Project Due by 5 PM on Thursday, 4/4/03 Prof. King NOT: YOU MUST WORK ONLY WITH

More information

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR P. Boulenc 1, J. Robbelein 1, L. Wu 1, L. Haspeslagh 1, P. De Moor 1, J. Borremans 1, M. Rosmeulen 1 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Email: pierre.boulenc@imec.be,

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER FACULTY OF ENGINEERING LAB SHEET EOP3036 Fabrication and Packaging Technology TRIMESTER 2 2017-2018 FP2 Simulation of fabrication processes and modelling of photodiodes Notes: 1. Maximum of TWO students

More information

On-Chip Variation (OCV) Kunal Ghosh

On-Chip Variation (OCV) Kunal Ghosh On-Chip Variation (OCV) Kunal Ghosh Ever thought what s an interviewer s favorite questions to rip you off all my previous ebooks. And On-Chip Variation (OCV) is one of them, specifically for Static Timing

More information

QUESTION BANK DEPARTMENT OF ECE

QUESTION BANK DEPARTMENT OF ECE QUESTION BANK DEPARTMENT OF ECE YEAR: III SEM: VI SUBJECTCODE:EC2354 SUBJECT NAME:VLSI DESIGN Prepared by V.GUNASUNDARI/AP 1.List the advantages of SOI CMOS process Denser transistor structures are possible.

More information

Layout Analysis I/O. Analysis from an HD Video/Audio SoC

Layout Analysis I/O. Analysis from an HD Video/Audio SoC Sample Report Analysis from an HD Video/Audio SoC For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500,

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.260 ISSN(Online) 2233-4866 Process Variation on Arch-structured Gate

More information

Applications Emerging to Employ Embedded Non- Volatile Memory

Applications Emerging to Employ Embedded Non- Volatile Memory Applications Emerging to Employ Embedded Non- Volatile Memory May 2011 By: Linh Hong Vice President of Marketing Kilopass Technology Inc. www.kilopass.com To learn more about Kilopass, stop by the Chip

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

OUTSTANDING PROPERTIES OF THE ESPROS CMOS/CCD TECHNOLOGY AND CONSEQUENCES FOR IMAGE SENSORS

OUTSTANDING PROPERTIES OF THE ESPROS CMOS/CCD TECHNOLOGY AND CONSEQUENCES FOR IMAGE SENSORS OUTSTANDING PROPERTIES OF THE ESPROS CMOS/CCD TECHNOLOGY AND CONSEQUENCES FOR IMAGE SENSORS OECD CONFERENCE CENTER, PARIS, FRANCE / 8 10 FEBRUARY 2012 Martin Popp, Enrico Marchesi, Beat De Coi, Markus

More information

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis June 12, 2006 Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical

More information

[HALL PROBE GRADIOMETRY ]

[HALL PROBE GRADIOMETRY ] 2008 [HALL PROBE GRADIOMETRY ] A novel Scanning Hall probe gradiometer has been developed and a new method to image x, y & z components of the magnetic field on the sample surface has been demonstrated

More information

Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon

Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon W. Brezna, H. Wanzenböck, A. Lugstein, E. Bertagnolli, E. Gornik, J. Smoliner Institute for Solid State Electronics,

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Luminous. Optoelectronic Device Simulator 4/15/05

Luminous. Optoelectronic Device Simulator 4/15/05 Optoelectronic Device Simulator 4/15/05 Contents Overview Key Benefits Applications Charge Coupled Devices (CCDs) Separate Absorption Multiplication (SAM) reach through avalanche photo detectors High speed

More information

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements Digeorgia N. da Silva, André I. Reis, Renato P. Ribas PGMicro - Federal University of Rio Grande do Sul, Av. Bento Gonçalves

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI-EPFL July 26, 2010 SLIP 2010, Anaheim, USA Presentation Outline 2-D and 3-D Clock Distribution

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Three-dimensional Process Simulation for Advanced Silicon Semiconductor Devices

Three-dimensional Process Simulation for Advanced Silicon Semiconductor Devices Proceedings of the /11ternational Co11fere11ce 011 Advanced Semiconductor Devices and Microsystems October 20-24, 1996, Smole11ice, Slovakia 169 Three-dimensional Process Simulation for Advanced Silicon

More information

Laser Applications for Photovoltaics Crystalline and Thin Film Technologies

Laser Applications for Photovoltaics Crystalline and Thin Film Technologies LASERS & MATERIAL PROCESSING I OPTICAL SYSTEMS I INDUSTRIAL METROLOGY I TRAFFIC SOLUTIONS I DEFENSE & CIVIL SYSTEMS Laser Applications for Photovoltaics Crystalline and Thin Film Technologies Back contact

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Giovanni Betti Beneventi

Giovanni Betti Beneventi Technology Computer Aided Design (TCAD) Laboratory Lecture 2, Overview of Synopsys Sentaurus TCAD Giovanni Betti Beneventi E-mail: gbbeneventi@arces.unibo.it ; giobettibeneventi@gmail.com Office: Engineering

More information

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes.

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes. Fujitsu Semiconductor Successfully Embeds Flash Memory onto DDC Technology Further expands scope of the Mie Plant s process technology for low-power devices Yokohama, Japan, December 10, 2013 Fujitsu Semiconductor

More information

Access Time Time needed by the device to present the data out after the address has been validated.

Access Time Time needed by the device to present the data out after the address has been validated. 12 GLOSSARY OF TERMS Acceptor An impurity that can make a semiconductor P-type by causing the absence of electrons in the conduction band (called holes ). These holes are carriers of positive charge. Access

More information

Leakage Current Analysis of a Real World Silicon-Silicon Dioxide Capacitance

Leakage Current Analysis of a Real World Silicon-Silicon Dioxide Capacitance Proceedings of the 6th International Caribbean Conference on Devices, Circuits and Systems, Mexico, Apr. 26-28, 2006 Leakage Current Analysis of a Real World Silicon-Silicon Dioxide Capacitance P. Schwaha,

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

A graphical user interface for multivariable analysis of silicon solar cells using scripted PC1D simulations

A graphical user interface for multivariable analysis of silicon solar cells using scripted PC1D simulations Available online at www.sciencedirect.com ScienceDirect Energy Procedia 38 (2013 ) 72 79 SiliconPV: March 25-27, 2013, Hamelin, Germany A graphical user interface for multivariable analysis of silicon

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information