Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Size: px
Start display at page:

Download "Victory Advanced Structure Editor. 3D Process Simulator for Large Structures"

Transcription

1 Victory Advanced Structure Editor 3D Process Simulator for Large Structures

2 Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures for subsequent accurate device simulation 3D simulation of individual cells and devices MOSFET FinFET SRAM 3D simulation of large, high feature density cells (with many implant/ anneal and epitaxy steps) single TFT cell, CMOS photo cell TFT, LED cells, CMOS RGB photo cells MEMS - 2 -

3 Benefits Process simulation based 3D device builder Solve accurately critical 3D process steps(e.g. doping, thin layer deposition) Increase productivity with fast 3D process simulations Optimize device performance as a function of process parameters Solve process integration issues due to layout design errors Seamless link with state of the art 3D Device simulators High level of automation (integrated in the VWF) - 3 -

4 Advantages Fast prototyping, including use of GDSII layout data Accurate 3D process simulation Simple, intuitive SUPREM-like syntax Input file follows the real process flow Versatility Tiny detailed structures (e.g. disk heads, vias) Large Structures (whole image sensor cells) Links to Atlas3D or Victory Device simulators Unique capabilities (large-scale MC Implant and diffusion, high-aspect ratios and thin layers) Powerful gridding modes for device optimized structures Using established DeckBuild environment - 4 -

5 Victory Advanced Structure Editor Victory Advanced Structure Editor uses application specific meshing and numerical methods and provides smooth synchronization of data between process simulation modules This flexible approach Victory Advanced Structure Editor to simulate effectively large sized 3D structures - 5 -

6 Tracking Fronts in Etch/Deposition - Etch and Deposition Victory Advanced Structure Editor simulates realistic geometric etch and deposition steps very efficiently with unstructured tetrahedral mesh - the developed algorithm combines the efficiency of string methods and the robustness of Level Set methods A generic model for etch and deposit Illustration for one step of the moving front; r iso (p) is isotropic etch rate at point p, and r dir (p1) is directional etch rate at point p

7 Tracking Fronts in Etch/Deposition - Example Creation and refill of a trench structure Patterning of photoresist. Directional etching; r dir is determined by the visible cone from above

8 Tracking Fronts in Etch/Deposition - Example Creation and refill of a trench structure Trench refill; illustration of void creation. Final trench structure with mesh

9 Tracking Fronts in Etch/Deposition - Example Creation of part of an electrostatic MEMS Part of a MEMS actuator array. MEMS device after isotropic release etch

10 Tracking Fronts in Etch/Deposition - Example Creation of part of an electrostatic MEMS Mesh at a corner without post-processing. Mesh at a corner with post-processing

11 Tracking Fronts in Etch/Deposition - Summary Robust algorithm based on the adaptive refinement of a tetrahedral grid Combines the efficiency of the string method and the robustness of the level set method Successfully solves some difficult problems such as de-looping and void creation Fast, typical times are from few seconds to couple of minutes

12 Immersed Boundaries in 3D Diffusion Diffusion and Annealing For dopant redistribution and activation, Victory Advanced Structure Editor uses the finite difference method, which is highly effective for numerical solution of systems of partial differential equations in complex geometries High performance solutions on irregular Cartesian meshes facilitating local refinement Immersed boundary grid to handle material interfaces

13 Immersed Boundaries in 3D Diffusion Immersed Boundary Grid Easy accessible data for structured nodes Special storage for interface nodes Possibility of grid refinement according to structure s geometry and active regions material boundary with interface nodes structured nodes

14 Immersed Boundaries in 3D Diffusion Finite difference method conservative monotonic approximation scheme splitting technique is allowable and results in crucial speedup of the calculations Efficient linear/nonlinear solvers space matrix structure is taken into account according to number of dopants/equations Time integration one-step Euler scheme step size control algorithm Diffusion Data Structure (DDS), 2D cross-section

15 Immersed Boundaries in 3D Diffusion 3D diffusion and annealing example showing doping in CMOS image cell

16 Immersed Boundaries in 3D Diffusion - Summary Fully compatible with Fermi diffusion model in Athena Solid solubility and impurity segregation at material interfaces Concurrent simulation of several impurities Allows diffusion simulation in structures with up to 4 million nodes in less than 1 hour using standard diffusion models 10 to 1000 times faster than other diffusion simulation methods

17 Monte-Carlo Ion Implantation 3D Monte-Carlo Ion Implantation in Crystalline Silicon Physically based model Feature dependent adaptive mesh Hierarchical level of acceleration techniques

18 Monte-Carlo Ion Implantation Physically-Based Simulation Model Realistic treatment of: i. Nuclear stopping well calibrated inter-atomic potentials for silicon. ii. iii. Electronic stopping, i.e. inelastic energy loss local and non-local stopping models. Amorphyzation damage buildup and accumulation. Amorphous pockets. ion e - e - electronic stopping e - lattice nuclear collisions

19 Monte-Carlo Ion Implantation Comparison with Molecular Dynamic Simulations Example 200 ev Boron into 100 Silicon G. Hobler, G. Betz (Inst. f. Allg. Physik, TU Wien) 2D slice of Victory Advanced Structure Editor MC Implantation

20 Monte-Carlo Ion Implantation The Monte Carlo module takes into account all important implantation effects: ion channeling ion dose dependency multilayer effects partial shadowing of ion flux multiple ion reflections scattering from mask walls Some of these important effects are most pronounced in case of angled implant into 3D structures

21 Monte-Carlo Ion Implantation - Ion Distribution in Silicon Primary, i.e. direct impact implantation Shadowed, i.e. secondary impact implantation

22 Monte-Carlo Ion Implantation Shadowed doping could be up to 10% of primary doping distribution primary secondary

23 Monte-Carlo Ion Implantation - Summary Method is inherently accurate for all applications Flexible mesh and combination of acceleration techniques allows simulation equivalent of few million trajectories in 1min 1hr depending on ion mass/energy and mask layout

24 Case Studies Layout based MOSFET simulation Layout driven Image Sensor simulation Well-proximity effect (pending) SRAM (pending)

25 MOSFET Simulation Physical layout and part of the input deck process flow go VictoryAdvancedStructureEditor! option run.full! init layout="dk_50nm.lay" gasheight=2 depth=1 padding=0.1! cartesian mask= ISO! cartesian mask= POLY! cartesian...! cartesian line zdir location= spacing=0.02! cartesian line zdir location=-0.25 spacing=-1! cartesian line zdir location=-0.205! cartesian...! mask "ISO" reverse! etch silicon thick=0.5 max! doping silicon boron=1e15! implant boron energy=5 dose=1e14 bca n.ion= ! strip resist! deposit oxide thick=0.005 max! implant boron energy=5 dose=1.5e13 bca n.ion= ! implant boron energy=30 dose=2e13 bca n.ion= ! mesh prism file="trench.str"! deposit poly thick=0.1 max! implant phosphor energy=20 dose=5e15 bca n.ion= ! mask "POLY"! etch poly! strip resist! mesh prism file="poly.str"! deposit nitride rate=1 time=0.1 isotropic=1 dl=0.04! etch nitride rate=1 time=0.11 isotropic=0!

26 MOSFET Simulation The polysilicon gate, spacer and electrodes of the final MOSFET simulated cell. Cross section along source-drain electrodes

27 MOSFET Simulation The MOSFET cell showing electron density distribution. Sub-threshold IV characteristics for different V t implant doses

28 Image Sensor Simulation Input Files 3D Process Simulation Run Time 3D Device Simulation Run Time Prototyping Summary Adding Photolithography Simulations Adding 3D Monte-Carlo Simulations FDTD Cross Section Recombination

29 Image Sensor Simulation Input Files Layout GDSII or Silvaco Layout Format (MaskViews) Simple Image Sensor Layout Example

30 Image Sensor simulation Input Files For fast prototyping and de-bugging, use automatic mask generated XY gridding and geometric deposit/etch to create the structure Automated XY meshing from mask layout Familiar SUPREM syntax DeckBuild environment

31 Image Sensor Simulation - 3D Process Simulation Run Time And by Fast we mean a prototyping runtime in minutes! 8 x - 3D Masking steps 4 x - 3D Implantation steps 3 x - 3D Diffusion steps 4 x - 3D Deposition steps 4 x - 3D Etch steps Total 3D Process Simulation Time on 2.83 GHz machine 2 Minutes 14 Seconds! (on a single CPU!)

32 Image Sensor Simulation - 3D Device Simulation Run Time 3D Device Simulation for 3 transients 1 CPU GHz (Including light Exposure) 29 minutes!

33 Image Sensor Simulation - Prototyping Summary Very fast simulation times Allows quick de-bugging of mask layouts Allows quick de-bugging of process flow Allows quick look-see 3D device simulations Standard specification desk top computer can be used Once the fast prototyping simulations have verified that the Layout and Process Simulations are correct, more detailed simulations can be done. e.g., Photolithography, Monte-Carlo Implants etc

34 Image Sensor Simulation - Adding Lithography Simulations Adding Photolithography simulation: Step 1 - Choose which masks are associated with implants Step 2 - Simply add mesh to each implant mask edge using the automated mask spacing feature

35 Image Sensor Simulation - Adding Lithography Simulations Step 3 Simply add Litho to the Mask Statement e.g., Mask POLY litho image= intensity.str Photoresist Optical Intensity during Polygate Mask Exposure

36 Image Sensor Simulation - Adding Lithography Simulations Poly Gate Photoresist Pattern after Lithography Showing optical effects of diffraction and interference on the final photoresist pattern

37 Image Sensor Simulation - Adding Lithography Simulations 3D Structure All 8 Masks using Lithography Simulation Plus additional Mesh Simulation Time 4 mins. 45 seconds

38 Image Sensor Simulation - Adding 3D Monte-Carlo Implant Simulations All 4 Implants using 4 Million Trajectories for Each Implant Total process simulation time 2 hours 8 minutes Showing shadowing of the photoresist for the high angle implants and scattering effects

39 Image Sensor Simulation FDTD Cross Section Simulation Full Exposure Showing Z-Magnetic Field Components and Photo-generation

40 Image Sensor Simulation - Recombination 3D Recombination Rate from Photo-Generation Targeted light exposure only in Image Sensor active region

41 Conclusion Very versatile Process and Device 3D simulators Can be used for fast prototyping of large structures or detailed analysis of intricate details Several Gridding Modes available to the user User definable models 3D process simulation, not just 3D structure editing Simple, intuitive SUPREM syntax Input file follows the real process flow Unique capabilities (large-scale MC Implant and diffusion, high-aspect ratios and thin layers) Silvaco is committed to continuous development of this core product working with customers to add still more capability

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

SILVACO International 0

SILVACO International 0 TCAD WORKSHOP USING SILVACO TCAD TOOLS Volume I This workshop will introduce you to process and device simulation using the Silvaco TCAD tools. It is assumed that you are familiar with basic concepts of

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

3D Detector Simulation with Synopsys TCAD

3D Detector Simulation with Synopsys TCAD Journée de la simulation 17/6/2013 3D Detector Simulation with Synopsys TCAD V. Gkougkousis1,2, A. Lounis 1,2, N. Dinu 1, A. Bassalat 1,3 1. Laboratoire de L'accélérateur Linéaire 2. Université Paris-SUD

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER

FACULTY OF ENGINEERING LAB SHEET. EOP3036 Fabrication and Packaging Technology TRIMESTER FACULTY OF ENGINEERING LAB SHEET EOP3036 Fabrication and Packaging Technology TRIMESTER 2 2017-2018 FP2 Simulation of fabrication processes and modelling of photodiodes Notes: 1. Maximum of TWO students

More information

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD What is TCAD? TCAD stands for Technology Computer Aided Design, it is a software tool for device engineers and professionals

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate length Gate oxide A good reference is http://jas2.eng.buffalo.edu/applets/education/fab/nmos/nmos.html

More information

Guide to Using TCAD with Examples

Guide to Using TCAD with Examples Guide to Using TCAD with Examples Silvaco 4701 Patrick Henry Drive, Bldg. 6 Santa Clara, CA 95054 Telephone (408) 567-1000 Internet: www.silvaco.com February 4, 2009 SILVACO Page 1 Guide to Using TCAD

More information

Integrated Simulation Solution for Advanced Power Devices

Integrated Simulation Solution for Advanced Power Devices Integrated Simulation Solution for Advanced Power Devices Objectives of this Presenation Presentation of simulation results for non-silicon power device types SiC Based Power Devices GaN Based Power Devices

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc.

Application Note. LDMOS Device and Process Simulation. Updated Crosslight Software Inc. Application Note LDMOS Device and Process Simulation Updated 2016.3 Crosslight Software Inc. Abstract--A technology template for the process and device simulation of a lateral double-diffused MOS (LDMOS)

More information

25 nm Omega FinFET: Three-dimensional Process and Device Simulations

25 nm Omega FinFET: Three-dimensional Process and Device Simulations 25 nm Omega FinFET: Three-dimensional Process and Device Simulations Abstract This Sentaurus simulation project provides a template setup for three-dimensional process simulation and device simulations

More information

Three-dimensional Process Simulation for Advanced Silicon Semiconductor Devices

Three-dimensional Process Simulation for Advanced Silicon Semiconductor Devices Proceedings of the /11ternational Co11fere11ce 011 Advanced Semiconductor Devices and Microsystems October 20-24, 1996, Smole11ice, Slovakia 169 Three-dimensional Process Simulation for Advanced Silicon

More information

2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller

2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING 2D Process Modeling with Silvaco ATHENA Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

반도체공정 - 김원정. Lattice constant (Å)

반도체공정 - 김원정. Lattice constant (Å) 반도체물리 - 반도체공정 - 김원정 Semiconductors Lattice constant (Å) 1 PN junction Transistor 2 Integrated circuit Integrated circuit originally referred to a miniaturized electronic circuit consisting of semiconductor

More information

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL

APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL 97 APPENDIX I OVERVIEW OF TCAD SIMULATION TOOL INTRODUCTION TCAD (Technology Computer Aided Design) is a technology that solves the equations representing the manufacturing process of Large Scale Integration

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Solar Cells. Simulation and Design

Solar Cells. Simulation and Design Solar Cells Simulation and Design - Outline Solar cells are simulated within TCAD process simulation (ATHENA) and device simulation (ATLAS) frameworks. This presentation will cover: 1 The software architecture

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Device. Multidimensional Device Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc.

2/3D Simulation of High Voltage MOSFET. Copyright 2008 Crosslight Software Inc. 2/3D Simulation of High Voltage MOSFET Copyright 2008 Crosslight Software Inc. www.crosslight.com 1 2 Contents Overview of CSuprem/Apsys models Process simulation Breakdown trend of a 300V LDMOS 3D Simulation

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris MEMS Design & Simulation Challenges Overview Simulation Challenges and Approaches Validation Case Studies

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Level set modeling of the orientation dependence of solid phase epitaxial regrowth

Level set modeling of the orientation dependence of solid phase epitaxial regrowth Level set modeling of the orientation dependence of solid phase epitaxial regrowth Saurabh Morarka a Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611-6200

More information

Achieve more with light.

Achieve more with light. Achieve more with light. Comprehensive suite of leading photonic design tools. Component Design Multiphysics Component Design Lumerical s highly integrated suite of component design tools is purposebuilt

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION MODELING AND SIMULATION TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Difficult Challenges 65 nm, Through 2007... 2 Difficult Challenges

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager FloEFD 16 What s New Alexey Kharitonovich Product Manager Tatiana Trebunskikh Product Manager FloEFD 16 Enhancements Phase Change for Refrigerants Flows of refrigerants with liquid to gas (cavitation/boiling)

More information

Simulating Accurate 3D Geometries for Interconnect Parasitic Extraction Using CLEVER

Simulating Accurate 3D Geometries for Interconnect Parasitic Extraction Using CLEVER Connecting TCAD To Tapeout A Journal for Process and Device Engineers Simulating Accurate 3D Geometries for Interconnect Parasitic Extraction Using CLEVER Introduction CLEVER is designed to model interconnect

More information

SAMPLE TUTORIAL. Introduction. Running Sample on UNIX systems. Barry Paul Linder, Spring 1996.

SAMPLE TUTORIAL. Introduction. Running Sample on UNIX systems. Barry Paul Linder, Spring 1996. SAMPLE TUTORIAL Barry Paul Linder, Spring 1996. Introduction SAMPLE is a simulation package that mimics a real processing laboratory. The machines SAMPLE simulates include an Exposure machine, a Developer

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information

Structured Grid Generation for Turbo Machinery Applications using Topology Templates

Structured Grid Generation for Turbo Machinery Applications using Topology Templates Structured Grid Generation for Turbo Machinery Applications using Topology Templates January 13th 2011 Martin Spel martin.spel@rtech.fr page 1 Agenda: R.Tech activities Grid Generation Techniques Structured

More information

Vertical LOCOS Power Devices in Victory Process: From 3D Process and Electrical Optimisation to High Speed, Full Chip Process Emulation

Vertical LOCOS Power Devices in Victory Process: From 3D Process and Electrical Optimisation to High Speed, Full Chip Process Emulation Engineered Excellence A Journal for Process and Device Engineers Vertical LOCOS Power Devices in Victory Process: From 3D Process and Electrical Optimisation to High Speed, Full Chip Process Emulation

More information

The Spherical Harmonics Discrete Ordinate Method for Atmospheric Radiative Transfer

The Spherical Harmonics Discrete Ordinate Method for Atmospheric Radiative Transfer The Spherical Harmonics Discrete Ordinate Method for Atmospheric Radiative Transfer K. Franklin Evans Program in Atmospheric and Oceanic Sciences University of Colorado, Boulder Computational Methods in

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Post-Process Process CMOS Front End Engineering With Focused Ion Beams

Post-Process Process CMOS Front End Engineering With Focused Ion Beams Post-Process Process CMOS Front End Engineering With Focused Ion Beams A. Lugstein 1, W. Brezna 1, B. Goebel 2, L. Palmetshofer 3, and E. Bertagnolli 1 1) Vienna University of Technology, Floragasse 7,

More information

Basics of treatment planning II

Basics of treatment planning II Basics of treatment planning II Sastry Vedam PhD DABR Introduction to Medical Physics III: Therapy Spring 2015 Dose calculation algorithms! Correction based! Model based 1 Dose calculation algorithms!

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1 US 2013 0082320A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2013/0082320 A1 Alberhasky et al. (43) Pub. Date: Apr. 4, 2013 (54) STRAPPED DUAL-GATE VDMOS DEVICE (52) U.S.

More information

MRI Induced Heating of a Pacemaker. Peter Krenz, Application Engineer

MRI Induced Heating of a Pacemaker. Peter Krenz, Application Engineer MRI Induced Heating of a Pacemaker Peter Krenz, Application Engineer 1 Problem Statement Electric fields generated during MRI exposure are dissipated in tissue of the human body resulting in a temperature

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor QUEST 3D RLCG Extraction Depending on Frequency RF Structures Parasitic Extractor Introduction Type of Simulation Inputs / Outputs Graphical Interface Technology Process Layout Field Solver Output DOE

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Geometric Templates for Improved Tracking Performance in Monte Carlo Codes

Geometric Templates for Improved Tracking Performance in Monte Carlo Codes Joint International Conference on Supercomputing in Nuclear Applications and Monte Carlo 2013 (SNA + MC 2013) La Cité des Sciences et de l Industrie, Paris, France, October 27-31, 2013 Geometric Templates

More information

Deliverable D10.2. WP10 JRA04 INDESYS Innovative solutions for nuclear physics detectors

Deliverable D10.2. WP10 JRA04 INDESYS Innovative solutions for nuclear physics detectors MS116 Characterization of light production, propagation and collection for both organic and inorganic scintillators D10.2 R&D on new and existing scintillation materials: Report on the light production,

More information

Luminous. Optoelectronic Device Simulator 4/15/05

Luminous. Optoelectronic Device Simulator 4/15/05 Optoelectronic Device Simulator 4/15/05 Contents Overview Key Benefits Applications Charge Coupled Devices (CCDs) Separate Absorption Multiplication (SAM) reach through avalanche photo detectors High speed

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

Introduction to Layout design

Introduction to Layout design Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: http://www.mosis.org/ 1 Introduction to

More information

NUC E 521. Chapter 6: METHOD OF CHARACTERISTICS

NUC E 521. Chapter 6: METHOD OF CHARACTERISTICS NUC E 521 Chapter 6: METHOD OF CHARACTERISTICS K. Ivanov 206 Reber, 865-0040, kni1@psu.edu Introduction o Spatial three-dimensional (3D) and energy dependent modeling of neutron population in a reactor

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support

3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support 3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support Gisbert Hölzer, Roy Knechtel X-FAB Semiconductor Foundries, AG Stephen Breit, Gerold Schropfer Coventor, Inc. Overview A

More information

Access Time Time needed by the device to present the data out after the address has been validated.

Access Time Time needed by the device to present the data out after the address has been validated. 12 GLOSSARY OF TERMS Acceptor An impurity that can make a semiconductor P-type by causing the absence of electrons in the conduction band (called holes ). These holes are carriers of positive charge. Access

More information

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions 1 CONTRIBUTING AUTHORS Robb Engle, Vice President of Engineering, Sono-Tek Corporation

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

GEOMETRY MODELING & GRID GENERATION

GEOMETRY MODELING & GRID GENERATION GEOMETRY MODELING & GRID GENERATION Dr.D.Prakash Senior Assistant Professor School of Mechanical Engineering SASTRA University, Thanjavur OBJECTIVE The objectives of this discussion are to relate experiences

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Best Practices: Volume Meshing Kynan Maley

Best Practices: Volume Meshing Kynan Maley Best Practices: Volume Meshing Kynan Maley Volume Meshing Volume meshing is the basic tool that allows the creation of the space discretization needed to solve most of the CAE equations for: CFD Stress

More information

A 10-minute introduction to. SynRad+ A test-particle Monte Carlo simulator for synchrotron radiation

A 10-minute introduction to. SynRad+ A test-particle Monte Carlo simulator for synchrotron radiation A 10-minute introduction to SynRad+ A test-particle Monte Carlo simulator for synchrotron radiation 1 The basics First, let s learn the SynRad+ terminology and the interface in a few slides. Or, if you

More information

Section 2: Beam Design

Section 2: Beam Design Section 2: Beam Design Version 2008 Section 2: Beam Design In MEMS design, the beam structure has many applications, including sensors, accelerometers, and RF switches. This section investigates two beam

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

pre- & post-processing f o r p o w e r t r a i n

pre- & post-processing f o r p o w e r t r a i n pre- & post-processing f o r p o w e r t r a i n www.beta-cae.com With its complete solutions for meshing, assembly, contacts definition and boundary conditions setup, ANSA becomes the most efficient and

More information

Outline. Monte Carlo Radiation Transport Modeling Overview (MCNP5/6) Monte Carlo technique: Example. Monte Carlo technique: Introduction

Outline. Monte Carlo Radiation Transport Modeling Overview (MCNP5/6) Monte Carlo technique: Example. Monte Carlo technique: Introduction Monte Carlo Radiation Transport Modeling Overview () Lecture 7 Special Topics: Device Modeling Outline Principles of Monte Carlo modeling Radiation transport modeling with Utilizing Visual Editor (VisEd)

More information

Robustness improvement of polyhedral mesh method for airbag deployment simulations. TU Delft

Robustness improvement of polyhedral mesh method for airbag deployment simulations. TU Delft Robustness improvement of polyhedral mesh method for airbag deployment simulations. TU Delft Santiago Alagon Carrillo, Numerical Analysis Daily Supervisor: Prof. dr. ir. C. Vuik, Company Supervisor: Ir.

More information

Electron Dose Kernels (EDK) for Secondary Particle Transport in Deterministic Simulations

Electron Dose Kernels (EDK) for Secondary Particle Transport in Deterministic Simulations Electron Dose Kernels (EDK) for Secondary Particle Transport in Deterministic Simulations A. Al-Basheer, G. Sjoden, M. Ghita Computational Medical Physics Team Nuclear & Radiological Engineering University

More information

Electromagnetic & Acoustic Simulation Technologies. ave Computation Technologies, Inc.

Electromagnetic & Acoustic Simulation Technologies. ave Computation Technologies, Inc. Electromagnetic & Acoustic Simulation Technologies ave Computation Technologies, Inc. Mission Wave Computation Technologies, Inc. (WCT) was founded in 2005 at the Research Triangle Area, North Carolina,

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Accelerating Finite Element Analysis in MATLAB with Parallel Computing

Accelerating Finite Element Analysis in MATLAB with Parallel Computing MATLAB Digest Accelerating Finite Element Analysis in MATLAB with Parallel Computing By Vaishali Hosagrahara, Krishna Tamminana, and Gaurav Sharma The Finite Element Method is a powerful numerical technique

More information

PolyMUMPs Design Handbook

PolyMUMPs Design Handbook PolyMUMPs Design Handbook a MUMPs process Jim Carter, Allen Cowen, Busbee Hardy, Ramaswamy Mahadevan, Mark Stonefield, and Steve Wilcenski MEMSCAP Inc. Revision 11.0 Copyright 1992-2005 by MEMSCAP. All

More information

Fluent User Services Center

Fluent User Services Center Solver Settings 5-1 Using the Solver Setting Solver Parameters Convergence Definition Monitoring Stability Accelerating Convergence Accuracy Grid Independence Adaption Appendix: Background Finite Volume

More information

3D Field Computation and Ray-tracing

3D Field Computation and Ray-tracing 3D 3D Family 3D Field Computation and Ray-tracing 3D computes the properties of electrostatic and magnetic electron optical systems, using a fully 3D potential computation and direct electron ray-tracing

More information

On-Chip Variation (OCV) Kunal Ghosh

On-Chip Variation (OCV) Kunal Ghosh On-Chip Variation (OCV) Kunal Ghosh Ever thought what s an interviewer s favorite questions to rip you off all my previous ebooks. And On-Chip Variation (OCV) is one of them, specifically for Static Timing

More information

Status of PEMC Steve Arthur 8/18/2016

Status of PEMC Steve Arthur 8/18/2016 Status of PEMC Steve Arthur 8/18/2016 CNSE : Joe Piccirillo, PY Hung, Sean Valente, Tom Gorczyca GE : Ron Olson, Mike Hartig, Yang Sui, Andy Minnick, Matt Edmonds, Tim VandenBriel, Kevin Shatley, Justin

More information

LS-DYNA 980 : Recent Developments, Application Areas and Validation Process of the Incompressible fluid solver (ICFD) in LS-DYNA.

LS-DYNA 980 : Recent Developments, Application Areas and Validation Process of the Incompressible fluid solver (ICFD) in LS-DYNA. 12 th International LS-DYNA Users Conference FSI/ALE(1) LS-DYNA 980 : Recent Developments, Application Areas and Validation Process of the Incompressible fluid solver (ICFD) in LS-DYNA Part 1 Facundo Del

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01

Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 Using TSUPREM-4 for Piezoresistor Design M. Hopcroft MAY2006 v1.01 TSUPREM-4 can be used for predicting the characteristics of piezoresistors created by doping of silicon. However, some care must be taken

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 MOTIVATION 1.1.1 LCD Industry and LTPS Technology [1], [2] The liquid-crystal display (LCD) industry has shown rapid growth in five market areas, namely, notebook computers,

More information

Simulation Advances for RF, Microwave and Antenna Applications

Simulation Advances for RF, Microwave and Antenna Applications Simulation Advances for RF, Microwave and Antenna Applications Bill McGinn Application Engineer 1 Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving:

More information

PSG2 / Serpent a Monte Carlo Reactor Physics Burnup Calculation Code. Jaakko Leppänen

PSG2 / Serpent a Monte Carlo Reactor Physics Burnup Calculation Code. Jaakko Leppänen PSG2 / Serpent a Monte Carlo Reactor Physics Burnup Calculation Code Jaakko Leppänen Outline Background History The Serpent code: Neutron tracking Physics and interaction data Burnup calculation Output

More information

Missile External Aerodynamics Using Star-CCM+ Star European Conference 03/22-23/2011

Missile External Aerodynamics Using Star-CCM+ Star European Conference 03/22-23/2011 Missile External Aerodynamics Using Star-CCM+ Star European Conference 03/22-23/2011 StarCCM_StarEurope_2011 4/6/11 1 Overview 2 Role of CFD in Aerodynamic Analyses Classical aerodynamics / Semi-Empirical

More information

3D MEMS Design Method via SolidWorks

3D MEMS Design Method via SolidWorks Proceedings of the 1st IEEE International Conference on Nano/Micro Engineered and Molecular Systems January 18-21, 2006, Zhuhai, China 3D MEMS Design Method via SolidWorks Changfti Zhang', Zhuangde Jiang

More information

Parallel Direct Simulation Monte Carlo Computation Using CUDA on GPUs

Parallel Direct Simulation Monte Carlo Computation Using CUDA on GPUs Parallel Direct Simulation Monte Carlo Computation Using CUDA on GPUs C.-C. Su a, C.-W. Hsieh b, M. R. Smith b, M. C. Jermy c and J.-S. Wu a a Department of Mechanical Engineering, National Chiao Tung

More information

Metafor FE Software. 2. Operator split. 4. Rezoning methods 5. Contact with friction

Metafor FE Software. 2. Operator split. 4. Rezoning methods 5. Contact with friction ALE simulations ua sus using Metafor eao 1. Introduction 2. Operator split 3. Convection schemes 4. Rezoning methods 5. Contact with friction 1 Introduction EULERIAN FORMALISM Undistorted mesh Ideal for

More information

Validation of an Unstructured Overset Mesh Method for CFD Analysis of Store Separation D. Snyder presented by R. Fitzsimmons

Validation of an Unstructured Overset Mesh Method for CFD Analysis of Store Separation D. Snyder presented by R. Fitzsimmons Validation of an Unstructured Overset Mesh Method for CFD Analysis of Store Separation D. Snyder presented by R. Fitzsimmons Stores Separation Introduction Flight Test Expensive, high-risk, sometimes catastrophic

More information

ECE 595, Section 10 Numerical Simulations Lecture 33: Introduction to Finite- Difference Time-Domain Simulations. Prof. Peter Bermel April 3, 2013

ECE 595, Section 10 Numerical Simulations Lecture 33: Introduction to Finite- Difference Time-Domain Simulations. Prof. Peter Bermel April 3, 2013 ECE 595, Section 10 Numerical Simulations Lecture 33: Introduction to Finite- Difference Time-Domain Simulations Prof. Peter Bermel April 3, 2013 Recap from Monday Numerical ODE solvers Initial value problems

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Mesh Human Phantoms with MCNP

Mesh Human Phantoms with MCNP LAUR-12-01659 Mesh Human Phantoms with MCNP Casey Anderson (casey_a@lanl.gov) Karen Kelley, Tim Goorley Los Alamos National Laboratory U N C L A S S I F I E D Slide 1 Summary Monte Carlo for Radiation

More information

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM 1 SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD- Introduction Medical Implanted Communication Service (MICS) has received a lot of attention recently. The MICS

More information