Computational Lithography Turning Physics into Yield

Size: px
Start display at page:

Download "Computational Lithography Turning Physics into Yield"

Transcription

1 Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena,

2 Lithography Modeling 2 SEMICON Europa, TechArena,

3 Computational Lithography History 3 SEMICON Europa, TechArena,

4 Computational Lithography 4 SEMICON Europa, TechArena,

5 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 5 SEMICON Europa, TechArena,

6 Lithography Exposure System 6 SEMICON Europa, TechArena,

7 Inverse Lithography Ill-posed reformulated as optimization problem 7 SEMICON Europa, TechArena,

8 Source/Mask Optimization (SMO) minimize target and pattern difference 8 SEMICON Europa, TechArena,

9 SMO Domain Coping with Ambiguity Directly Twostage 9 SEMICON Europa, TechArena,

10 SMO Representation parametric pixelated polar coordinates pixelated geometric archel-based mask representation 10 SEMICON Europa, TechArena,

11 SMO: Figures of Merit Pattern Fidelity Throughput Contrast Variation-insensitivity MEEF, Focus, Dose variations, PWs Constraints Manufacturability Design-related 11 SEMICON Europa, TechArena,

12 SMO Issues Resist Simulation Mask Topography Wafer Topography Multi-modal Search Spaces Process Performance Considerations 12 SEMICON Europa, TechArena,

13 SMO Example: DoF maximization defocus illumination mask none 200 nm 400 nm CD x : nm CD y : nm CD x : nm CD y : nm CD x : nm CD y : nm CD x : nm CD y : nm CD x : nm CD y : nm CD x : nm CD y : nm 13 SEMICON Europa, TechArena,

14 Source/Mask/Projector Optimization Generation 690 Generation Z4: 6 Z9: 4 Z16: 4 Z25: 98 Z36: 8 Z4: 6 Z9: 4 Z16: 13 Z25: 136 Z36: SEMICON Europa, TechArena,

15 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 15 SEMICON Europa, TechArena,

16 Imaging Approaches Taxonomy Extremely fast Well suited for mask optimization Exact Well suited for Illumination optimization 16 SEMICON Europa, TechArena,

17 Resist Models First-principle resist models powerful and highly predictive But only, if adequately calibrated Multitude of parameters Measurements often hard to conduct No 1:1-correspondence between measured data and resist parameters And, they are also slow 17 SEMICON Europa, TechArena,

18 Simplified Resist Models: ADDIT Experiment: 13.8 mj/cm² 14.6 mj/cm² 15.4 mj/cm² 16.2 mj/cm² 17 mj/cm² 17.8 mj/cm² 18.6 mj/cm² 19.4 mj/cm² fast resist models lead to predictive results CD [µm] Simulation: 13.8 mj/cm² 14.6 mj/cm² 15.4 mj/cm² 16.2 mj/cm² 17 mj/cm² 17.8 mj/cm² 18.6 mj/cm² 19.4 mj/cm² less than 10 model parameters computation is up to 100 times faster than full resist models focus [µm] simulated and measured FEM Semi-dense lines (100 nm line 140 nm space) more details can be found in B. Tollkühn, SPIE 5376 (2004) SEMICON Europa, TechArena,

19 Simplified Resist Models Thresholdbased Constant Threshold Resist Model (CTRM) Variable Threshold Resist Model (VTRM) Diffused Aerial Image Model (DAIM) Acid Dose DIffusion Threshold (ADDIT) Model Lumped Parameter Models 2-D 3-D NG Approaches R3D RoadRunner 19 SEMICON Europa, TechArena,

20 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 20 SEMICON Europa, TechArena,

21 Topographic vs. Kirchhoff Mask 21 SEMICON Europa, TechArena,

22 Rigorous EMF solvers FDTD Finite-difference time-domain Spatial domain Time-resolved RCWA Rigorous-coupled-wave-analysis, Waveguide Frequency domain Natural Transfer Matrix Extension Worse scaling behavior FEM Finite Element Method Complex geometries allowed Efficiency proportionate to mesh 22 SEMICON Europa, TechArena,

23 Hopkins Assumption multiple incidence angle onto mask angle difference increases with higher NA Hopkins assumption oblique incidences phase shift of spectrum fails 23 SEMICON Europa, TechArena,

24 Hopkins-Effects versus NA Annular, ypol, duty 1:1 NA=0.85 NA=0.9 NA=0.95 NA=1.0 Kirchhoff rig. Hopkins rig. without Hopkins NA=1.05 NA=1.1 NA=1.15 NA= SEMICON Europa, TechArena,

25 Field Decomposition Techniques Rigorous EMF simulations are required but too slow for Computational Lithography Speed-up techniques? horizontal configurations vertical configurations 1D configurations Y Axis Title = Y Axis Title Y Axis Title Y Axis Title X Axis Title X Axis Title X Axis Title X Axis Title Can be applied to both FDTD and Waveguide 25 SEMICON Europa, TechArena,

26 Field Decomposition Techniques 0 Performance of the Waveguide Decomposition Technique 0 accuracy for contact holes Y (nm) Image cross section 90nm dense contacts =193nm Intensity D Decomposition mask area 3.3 µm x 3.3 µm, typical EUV settings simulation times: X (nm) Full 3D: 400 days (estimation) Decomposition: 450 s Process window Parallelized Decomposition: 10s (27 CPU) 26 SEMICON Europa, 26 / TechArena, Intensity x (nm) Defocus (µm) 3D Decomposition

27 Mask Topography cont d 27 SEMICON Europa, TechArena,

28 EMF Approximations boundary layer pulse function filters e.g., Neural Network 28 SEMICON Europa, TechArena,

29 Comparison between models: CD Artificial Neural Network 29 SEMICON Europa, TechArena,

30 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 30 SEMICON Europa, TechArena,

31 Crossed Lines: Wafer Topography Effects Impact of Refractive Index Difference Between Cured Resist 1 and Resist 2: n = n1 n2 resist footprints CD variation along resist 2 line p litho 1: 45nm lines; variable pitch litho 2: 45nm lines; 90nm pitch n = 0.03 Effect is linear in n/ k Material specifications have to be defined for critical pitches Consider critical pitch in the design split! 31 SEMICON Europa, TechArena,

32 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 32 SEMICON Europa, TechArena,

33 EUV modeling topics Topographic masks shadowing, telecentricity Resist shot noise, secondary electrons y z x absorber capping Materials multi-layer, absorber MoSi multilayer OPC, RET 3-D OPC, phase-shift masks, assists substrate Mask defects assessment, repair 33 SEMICON Europa, TechArena,

34 Mesoscopic Resist Models and Line Edge Roughness How many photons do contribute to the exposure? for DUV exposures in an area of 1nm 1nm 2-5 for EUV exposures in an area of 1nm 1nm Continuous model Mesoscopic model general process performance, CD, process windows line edge roughness (LER) 34 SEMICON Europa, TechArena,

35 EUV-Mask Multilayer Defects: Geometry Typical defects bump defect pit defect (2D) Gaussian deformation at top/bottom h top/bot defect height w top/bot defect size (FWHM) 35 SEMICON Europa, TechArena,

36 Repair Simulation Results Impact of position and height h top =5nm aerial image footprint w top =50nm h bot =w bot =30nm performance versus height before repair after repair all defects up to a height of 6nm can be compensated 36 SEMICON Europa, TechArena,

37 EUV AttPSM: Contact Holes 22-nm square contact arrays, NA: 0.3, lambda: 13.5 nm Through-pitch (DR: 1:1, 1:2, 1:5) DOF: 100 nm Fixed 80 nm Cr Absorber: EL: 14.3%, PE: 2.81 nm 60 nm TaN (high k) absorber, 2% refl.: EL: 16.5%, PE: 2.1 nm 64 nm Mo (low k) absorber, 41% refl.: EL: 17.2%, PE: 2.13 nm 73 nm low n, high k absorber, 0.2% (bin.) refl.: EL: 17.2%, PE: 2.44 nm 37 SEMICON Europa, TechArena,

38 Outline Source Mask Optimization Models Imaging Photoresist Photomask Topography Pattern Multiplication EUV Directed Self Assembly Conclusions 38 SEMICON Europa, TechArena,

39 Directed Self-assembly (DSA) of block co-polymers Pattern Generation Pattern Rectification Graphoepitaxy Surface Brush Optical Lithography + DSA Modeling 39 SEMICON Europa, TechArena,

40 DSA Modeling Tasks and Requirements Pattern (equilibrium) prediction Impact of guiding structures Impact of litho process variations Pattern formation dynamics Defects, LER, LWR Impact of DSA process variations (i.e., anneal time/temperature) Material exploration and assessment 40 SEMICON Europa, TechArena,

41 DSA Modeling: Coarse Graining (images courtesy U. Welling, C. Daoulas, M. Müller, Georg-August-Universität Göttingen) 41 SEMICON Europa, TechArena,

42 Example: Single-Chain Mean-Field (SCMF) Approach Quasi-stationary particle-based approach Single chain: molecule level Chain interactions: molecular field Mean Field Di-block Co-Polymer (U. Welling, C. Daoulas, M. Müller, 10th Fraunhofer IISB Lithography Simulation Workshop, 2012) 42 SEMICON Europa, TechArena,

43 DSA simulation example Ordering kinetics in thin films : (PS-b- PMMA) on surface with stripe pattern L 0 = 47.5 nm Edwards, Stokovich, Müller, Solak, de Pablo, Nealey, J. Polym. Sci B 43, 3444 (2005) 43 SEMICON Europa, TechArena,

44 DSA Model Integration OPC-integrated SMO-integrated (cf. C. Liu, Proc. SPIE 8323, 2012) Compact models required 44 SEMICON Europa, TechArena,

45 Conclusions Lithography has a long-standing modeling and simulation history With narrowing margins, design has to increasingly consider the (litho) process Computational Lithography is all about bringing the achievements of Lithography Simulation to the design world But that s just the beginning 45 SEMICON Europa, TechArena,

46 Design Restrictions 46 SEMICON Europa, TechArena,

47 Conclusions Design centric world Process centric, ideal world 47 SEMICON Europa, TechArena,

48 Acknowledgements V. Singh (Intel), U. Welling (Uni Göttingen) All Fraunhofer IISB Lithography Simulation Group; esp. Andreas Erdmann, Viviana Agudelo, Peter Evanschitzky Simulations were performed with Dr.LiTHO ( THANK YOU FOR YOUR ATTENTION 48 SEMICON Europa, TechArena,

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Article 3D Topography Mask Aligner

Article 3D Topography Mask Aligner Article 3D Topography Mask Aligner Lithography Simulation Ulrich Hofmann, Nezih Ünal GenISys GmbH 82024 Taufkirchen Germany Ralph Zoberbier SUSS MicroTec Lithography GmbH 85748 Garching Germany Ton Nellissen

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Development of EUV-Scatterometry for CD Characterization of Masks PB Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Jan Perlich, Frank-Michael Kamm, Jenspeter Rau nfineon

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Simulation of Optical Waves

Simulation of Optical Waves Simulation of Optical Waves K. Hertel, Prof. Dr. Ch. Pflaum 1 Department Informatik Friedrich-Alexander-Universität Erlangen-Nürnberg 2 School for Advanced Optical Technologies Friedrich-Alexander-Universität

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Extreme Ultraviolet Phase Contrast Imaging

Extreme Ultraviolet Phase Contrast Imaging Extreme Ultraviolet Phase Contrast Imaging Gregory Denbeaux 1, Rashi Garg 1, Andy Aquila 2, Anton Barty 3, Kenneth Goldberg 2, Eric Gullikson 2, Yanwei Liu 2, Obert Wood 4 1, University at Albany, Albany,

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Model Based Guiding Pattern Synthesis for on-target and robust assembly of Via and Contact layers using DSA

Model Based Guiding Pattern Synthesis for on-target and robust assembly of Via and Contact layers using DSA Model Based Guiding Pattern Synthesis for on-target and robust assembly of Via and Contact layers using DSA Joydeep Mitra 1, Andres Torres 1, Yuansheng Ma 1, and David Z Pan 2 1 Mentor Graphics Corporation

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Thin film solar cell simulations with FDTD

Thin film solar cell simulations with FDTD Thin film solar cell simulations with FDTD Matthew Mishrikey, Prof. Ch. Hafner (IFH) Dr. P. Losio (Oerlikon Solar) 5 th Workshop on Numerical Methods for Optical Nano Structures July 7 th, 2009 Problem

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

SuMMIT Application Notes:

SuMMIT Application Notes: SuMMIT Application Notes: #2 Tools for large data sets EUV Technology, SuMMIT Software Division Large data sets support high precision SuMMIT allows for batch processing of large data sets for such studies

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Optimization of one- and two dimensional masks in the optical lithography

Optimization of one- and two dimensional masks in the optical lithography Optimization of one- and two dimensional masks in the optical lithography Richárd Farkas University of Szeged Gabriella Kókai Friedrich-Alexander Universität Erlangen-Nürnberg Bernd Tollkühn, Andreas Erdmann,

More information

Outline. Abstract. Modeling Approach

Outline. Abstract. Modeling Approach EUV Interference Lithography Michael Goldstein ϕ, Donald Barnhart λ, Ranju D. Venables ϕ, Bernice Van Der Meer ϕ, Yashesh A. Shroff ϕ ϕ = Intel Corporation (www.intel.com), λ = Optica Software (www.opticasoftware.com)

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask

Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask Microelectronic Engineering 84 (2007) 711 715 www.elsevier.com/locate/mee Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask Chun-Hung Lin a,

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Measurement and characterization of EUV mask performance at high-na

Measurement and characterization of EUV mask performance at high-na Measurement and characterization of EUV mask performance at high-na Rikon Chao Andrew R. Neureuther, Ed. Laura Waller, Ed. Patrick Naulleau, Ed. Electrical Engineering and Computer Sciences University

More information

Challenges in high NA, polarization, and photoresists

Challenges in high NA, polarization, and photoresists Challenges in high NA, polarization, and photoresists Bruce W. Smith *a, Julian Cashmore **b a Rochester Institute of Technology, Microelectronic Engineering Dept., Rochester, NY b Exitech Limited, Oxford

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Linyong Pang, Peter Hu, Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, 01109 Dresden, Germany 4 rd European DoE

More information

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS U.P.B. Sci. Bull., Series A, Vol. 77, Iss. 3, 2015 ISSN 1223-7027 NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS Bogdan Stefaniţă CALIN 1, Liliana PREDA 2 We have successfully designed a

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

specular diffuse reflection.

specular diffuse reflection. Lesson 8 Light and Optics The Nature of Light Properties of Light: Reflection Refraction Interference Diffraction Polarization Dispersion and Prisms Total Internal Reflection Huygens s Principle The Nature

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

CS354 Computer Graphics Ray Tracing. Qixing Huang Januray 24th 2017

CS354 Computer Graphics Ray Tracing. Qixing Huang Januray 24th 2017 CS354 Computer Graphics Ray Tracing Qixing Huang Januray 24th 2017 Graphics Pipeline Elements of rendering Object Light Material Camera Geometric optics Modern theories of light treat it as both a wave

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

TFT-LCD Technology Introduction

TFT-LCD Technology Introduction TFT-LCD Technology Introduction Thin film transistor liquid crystal display (TFT-LCD) is a flat panel display one of the most important fields, because of its many advantages, is the only display technology

More information

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT EUV Lithography Coming to your local IC manufacturer! Soon TM Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT Applied Optics Surface finish requirements for soft x-ray mirrors. D. L. Windt,

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

EE795: Computer Vision and Intelligent Systems

EE795: Computer Vision and Intelligent Systems EE795: Computer Vision and Intelligent Systems Spring 2012 TTh 17:30-18:45 FDH 204 Lecture 14 130307 http://www.ee.unlv.edu/~b1morris/ecg795/ 2 Outline Review Stereo Dense Motion Estimation Translational

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Model-based reconstruction of periodic sub-l features

Model-based reconstruction of periodic sub-l features SENSORSYSTEME 2012 Model-based reconstruction of periodic sub-l features ITO Stuttgart Optical Metrology: Advantages & Disadvantages (Challenge) Inverse Problems: Solving Strategy (Modelbased Feature Reconstruction)

More information

Understanding and selecting diffraction gratings

Understanding and selecting diffraction gratings Understanding and selecting diffraction gratings Diffraction gratings are used in a variety of applications where light needs to be spectrally split, including engineering, communications, chemistry, physics

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

LIGHT SCATTERING THEORY

LIGHT SCATTERING THEORY LIGHT SCATTERING THEORY Laser Diffraction (Static Light Scattering) When a Light beam Strikes a Particle Some of the light is: Diffracted Reflected Refracted Absorbed and Reradiated Reflected Refracted

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction Soo-Young Lee Auburn University leesooy@eng.auburn.edu Presentation Proximity Effect PYRAMID Approach Exposure Estimation Correction

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Approaches to the Metrology Challenges for DSA Hole

Approaches to the Metrology Challenges for DSA Hole Approaches to the Metrology Challenges for DSA Hole Masafumi Asano, Kazuto Matsuki, Tomoko Ojima, Hiroki Yonemitsu, Ayako Kawanishi, Miwa Sato 2015 Toshiba Corporation Introduction Outline Metrology for

More information

DSA: How far have we come and how much further is left to go? Darron Jurajda

DSA: How far have we come and how much further is left to go? Darron Jurajda DSA: How far have we come and how much further is left to go? Darron Jurajda Semiconductor Business Unit Director, Brewer Science Outline Background of DSA at Brewer Science DSA historical progress Hype

More information

Chapter 6. Applications of CLC photonic crystals. Traditional liquid crystal displays use color filters to generate colors. However, only ~33% of

Chapter 6. Applications of CLC photonic crystals. Traditional liquid crystal displays use color filters to generate colors. However, only ~33% of Chapter 6. Applications of CLC photonic crystals Yun Ho Kim 1. Flexible reflective display and dynamic reflector Traditional liquid crystal displays use color filters to generate colors. However, only

More information

Light: Geometric Optics

Light: Geometric Optics Light: Geometric Optics The Ray Model of Light Light very often travels in straight lines. We represent light using rays, which are straight lines emanating from an object. This is an idealization, but

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods. Marshal Miller. A dissertation submitted in partial satisfaction of the

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods. Marshal Miller. A dissertation submitted in partial satisfaction of the Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods by Marshal Miller A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in

More information

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2275-2280 ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S -As 2 Se PHOTORESISTS N. P. Eisenberg,

More information

Deterministic microlens diffuser for Lambertian scatter

Deterministic microlens diffuser for Lambertian scatter Deterministic microlens diffuser for Lambertian scatter Tasso R. M. Sales, Donald J. Schertler, and Stephen Chakmakjian RPC Photonics, Inc. 330 Clay Road, Rochester, New York 14623 Phone: 585-272-2840

More information

Diffraction. Single-slit diffraction. Diffraction by a circular aperture. Chapter 38. In the forward direction, the intensity is maximal.

Diffraction. Single-slit diffraction. Diffraction by a circular aperture. Chapter 38. In the forward direction, the intensity is maximal. Diffraction Chapter 38 Huygens construction may be used to find the wave observed on the downstream side of an aperture of any shape. Diffraction The interference pattern encodes the shape as a Fourier

More information

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods

Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods Mask Edge Effects in Optical Lithography and Chip Level Modeling Methods Marshal Miller Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-21-16

More information