NETWORKS-ON-CHIP (NoCs) have proven to be highly

Size: px
Start display at page:

Download "NETWORKS-ON-CHIP (NoCs) have proven to be highly"

Transcription

1 IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, ORION3.: A Comprehensive NoC Router Estimation Tool Andrew B. Kahng, Fellow, IEEE, Bill Lin, Senior Member, IEEE, and Siddhartha Nath, Student Member, IEEE Abstract Networks-on-Chip (NoCs) are increasingly used in many-core architectures. ORION [9] is a widely adopted NoC power and area estimation tool but its estimation models can have large errors (up to 185%) versus actual implementation. We present ORION3., an open-source tool whose parametric and non-parametric modeling methodologies fundamentally differ from ORION logic template-based approaches in that the estimation models are derived from actual physical implementation data. When compared with actual implementations, ORION3. models achieve average estimation errors of no more than 9.8% across microarchitecture, implementation, and operational parameters as well as multiple router RTL generators. A comprehensive suite of these methodologies has been implemented in the ORION3. distribution [2]. Index Terms Network-On-Chip, regression, metamodeling. I. INTRODUCTION NETWORKS-ON-CHIP (NoCs) have proven to be highly scalable and low-latency interconnection fabrics in the era of many-core architectures, as evidenced by commercial chips such as the Intel 8-core [16], and IBM Blue Gene [15] processors. NoCs are now a key uncore element in the updated MPU system driver model in the 213 International Technology Roadmap for Semiconductors (ITRS) [17]. Because of their growing importance, NoCs must be optimized for latency and power [11]. To facilitate early design-space exploration, accurate NoC power and area estimation tools are required. We describe ORION3., a comprehensive NoC router estimation tool that embodies both parametric and nonparametric models. We include new models of router component blocks using parametric [5] and non-parametric modeling [6] methodologies that fundamentally differ from ORION [9] in that the estimation models are derived from post-place-and-route (P&R) data that correspond to a given RTL generator and target cell library. Within this paradigm, we describe two approaches that are implemented in ORION3.. The first approach is based on parametric modeling. Our work in [5] makes a substantial departure from the ORION approach in that no logic template is assumed for any router component block. Instead, for each component block in the router RTL, appropriate parametric models are A. B. Kahng is with the Departments of Computer Science and Engineering, and of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA abk@ucsd.edu. B. Lin is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA billlin@ece.ucsd.edu. S. Nath is with the Department of Computer Science and Engineering, University of California at San Diego, La Jolla, CA sinath@ucsd.edu Copyright 213 IEEE. Personal use of this material is permitted. However, permission to use this material for any other purposes must be obtained from the IEEE by sending an to pubs-permissions@ieee.org. derived from post-synthesis netlists by observing how instance counts change with microarchitectural, implementation, and operational parameters. We call these models ORION. We perform least-squares regression (LSQR) with actual post- P&R power and area data to refine these ORION models. The resulting parametric models achieve worst-case errors significantly better than those of ORION. This parametric modeling methodology enables a separation of concerns and skillsets: it does not require the architect or developer to understand how the architectural components are implemented on chip. Rather, the methodology relies on a onetime characterization of post-synthesis data to derive parametric models of component blocks, and automatic fitting of these models to post-p&r data using parametric regression. The second approach is based on non-parametric modeling. Estimation models are again derived from post-p&r power and area data that correspond to a given RTL generator and target cell library. The non-parametric modeling approach can automatically derive accurate estimation models based on a sample set of post-p&r results. ORION3. extends ideas from [8] [3] by incorporating four metamodeling techniques for automatic model generation: Radial Basis Functions (), Kriging (), Multivariate Adaptive Regression Splines with linear and cubic splines, and Support Vector Machine () regression. The non-parametric modeling approach does not require the architect or developer to understand how architectural components are physically implemented. For backward compatibility, ORION3. also includes the logic template-based models that comprise ORION. Based on modeling accuracy requirements, and availability of training and testing data for regression, users have the flexibility to choose appropriate modeling methodologies. For example, when training and testing data for a technology or tool flow is not available, users may use ORION models with scaled technology parameters. Our main contributions are as follows: 1) We describe a new parametric modeling methodology that derives accurate parametric models from postsynthesis netlists by observing how instance counts change with microarchitectural, implementation, and operational parameters. Use of post-synthesis netlists accurately captures contributions from both control and datapath in the design. 2) We demonstrate that non-parametric regression techniques,,, and, can yield highly accurate (worst-case error 2%) NoC power and area estimates. 3) ORION3. is available on the web for download. Over 1 downloads have been made from industry and academia since availability commenced in February 213.

2 IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, The remainder of this paper is organized as follows. Section II presents ORION models and describes our parametric modeling methodology, and Section III provides description of our non-parametric modeling methodology. Section IV describes the ORION3. distribution itself, including software architecture and extensibility with user-defined models as well as training and testing datasets. Section V concludes this work. II. PARAMETRIC MODELING Figure 1 shows example of a modern on-chip network router with input and output buffers, switch and virtual channel arbiter, and crossbar. ORION uses logic template models for these router blocks. However, these models can be inaccurate because of mismatches between the actual RTL and the templates assumed. Moreover, typical design flows involve sophisticated design steps that have complex interactions among them, making their effects difficult to characterize. Figures 2 and show power and instance-count estimation errors at for ORION relative to two router RTL generators (Netmaker [19] from Cambridge and the Stanford NoC router [22]), as a function of the number of input ports in the router. The maximum errors are greater than 1% and 1%, respectively. For these two RTL generators, [5] reports significant improvements in power, area, and instance-count estimation using ORION models. Fig. 1. Router architecture [11]. Fig. 2. Poor estimations by ORION [9]. Power and instance counts of Netmaker and Stanford NoC vs. ORION at as a function of #ports. A. Model Enhancements. The ORION router block models in ORION3. model instances (or gates) in each router block, which our studies show to be required for accurate estimations of area and power. The microarchitecture parameters used are #Ports (P), #VCs (V), #Buffers (B) and Flit-width (F). The constant factors in instancecount models of InBUF, OutBUF and frequency derating are derived by linear regression with post-synthesis netlists. Crossbar (XBAR) Model. ORION models comprehend modern router RTL implementations which use smaller crossbars instead of traditional matrix [11] and multiplexer tree [9] implementation options in ORION. The XBAR uses tri-state buffers (modeled as 2:1 MUX) to control each flit. Hence, the total number of such MUXes required is P P F. Switch and VC Arbiter (SWVC) Model. ORION removes the default overhead factor of 3% used by ORION because our analysis indicates that this overhead is not needed with frequencies in the range 4 MHz 9 MHz for process nodes to 13. Instance-count in SWVC is modeled as 9 (P (P (V 2 + 1) + (V 2 1)). The constant factor 9 arises because six 2-input NOR gates, two INVerters, and one D-FlipFlop are used to generate one grant signal on each path. Input Buffer (InBUF) Model. ORION models take into account control signals and housekeeping logic which are needed required to decode flits and manage VCs. ORION models lack these components and are hence inaccurate. In ORION, FIFO buffers are modeled as 2 P V B F, and control signals and housekeeping logic are modeled as 18 P V + 2 P 2 V B+3 P V B+5 P 2 B+P 2 +F P+15 P. Output Buffer (OutBUF) Model. ORION models take into account hybrid output buffer implementations in modern router RTLs as well as control signals per port and VC associated with each buffer. Output buffers are thus modeled differently from input buffers, with OutBUF given as P (8 V + 25). Clock and Control Logic (CLKCTRL) Model. Unlike ORION, ORION models the clock buffers and routing resources as frequency scales. These resources are modeled as 2% of the sum of instances in the SWVC, InBUF and OutBUF component blocks. Frequency Derating Model. ORION models are agnostic to implementation parameters such as clock frequency and results in large estimation errors at high frequencies. We first find the frequency below which instance counts change by less than 1%. We derate instance counts by a multiplier Instance that is based on this frequency as Instance = Frequency ConstantFactor. B. Modeling Methodology. Figure 3 shows our flow to derive new parametric models, ORION, from post-synthesis netlists and subsequent refinement process by fitting the models to post-p&r area, power, and instance counts data. We use the Netmaker and the Stanford NoC router RTL generators, and a range of values of microarchitecture parameters (P, V, B and F) and implementation parameters (clock frequency and technology node) to configure the router. We synthesize the router RTLs using Synopsys Design Compiler vf sp4-64 (DC) [23] and Cadence RTL Compiler vedi1.1 (RC) [13], with options to preserve module hierarchy to enable us to analyze each router component block. We derive ORION models from analysis of postsynthesis netlists of the component blocks. To refine these models, we generate post-p&r power and area data. We place and route the synthesized netlists using Cadence SOC Encounter vedi1.1 (SOCE) with die utilization of.75 and die aspect ratio of 1., and use Synopsys PrimeTime-PX vf sp3-7 (PT-PX) [23] to run power analysis based on the post-p&r netlist, SPEF [23] and SDC [1]. Finally, we use the MATLAB vr211b [18] function lsqnonneg to fit the models to post-p&r data. C. Results. Figures 4 and respectively compare power and area estimation errors of ORION to those of ORION at

3 IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, 213. NoC router RTL generators 3 Implementation params: Clock Frequency µarch params: P, V, B, F Synthesis and P&R: DC/RC, SOCE Analysis of blocks: XBAR, SW & VC arbiter, Input & Output buffers Post-P&R area, power, instances ORION_ models for each component block LSQR New fitted models Fig. 3. Development of ORION and fitted models using post-p&r data. Fig. 5. Development of non-parametric regression models using post-p&r data. and. The ORION estimates are very close to actual implementation (average error of 9.8% in estimating Netmaker power at ) and are robust across multiple microarchitecture, implementation parameters, and router RTLs. and test data points. The input variables to all the models are P, V, B and F and the responses are post-p&r power and area. We use two training set sizes sparse and restricted with 5 data points that omit higher values of the microarchitectural parameters,1 and sparse only with 64 data points that are sampled using Latin Hypercube Sampling [4]. The sparse and restricted set allows us to assess how well the models generalize in estimating area and power for input parameters which are beyond the range of values used for training. In each experiment, model generation takes around 3s and response estimation takes around 1.88s. We repeat all experiments 1 times for each training set size, and report the averages of all the error values across the 1 trials. Figures 6 and respectively compare area and power estimation errors across all modeling techniques at and for the sparse and restricted training sets. The average errors are 1% in area and 2% in power. performs better than other techniques across technologies in both area and power estimation. can be up to 3 more accurate than, and. Figures 7 and show similar plots for the sparse only training sets. Again, is more accurate than other techniques, but the difference in accuracy is not as significant with and as compared to Figures 6 and. Across all training set sizes used in our experiments, area and power estimation errors are the smallest for and are the largest for. Stanford NoC NetMaker 1% 8% 6% 4% 2% % Stanford NoC NetMaker Fig. 4. Regression fit vs. ORION: power and area estimation errors. III. N ON -PARAMETRIC M ODELING Non-parametric regression techniques provide another approach to estimate NoC power and area [8] [3]. The models determine the interactions between all input variables and how they affect the output (or response). This alleviates the effort needed to model architecture-level implementations of NoCs. At the same time, non-parametric regression approaches are scalable across multiple router RTLs, technology libraries and commercial tool flows. In ORION3., we implement four popular non-parametric regression or metamodeling techniques,,, and. Detailed descriptions of these techniques are in [2]. A. Modeling Methodology. We derive NoC area and power models by performing nonparametric fit of post-p&r data using and technology libraries. We first perform synthesis using Synopsys Design Compiler vf sp4-64 [23], followed by place and route using Cadence SOC Encounter vedi1.1 [13], of the Netmaker [19] router RTL. Figure 5 shows our flow to derive non-parametric regression models. B. Results. We use 256 data points of post-p&r power and area values using and technology libraries to generate training Fig. 6. Estimation errors in area and power at with sparse and restricted training sets (i.e. 5 data points for training) % 8% 6% 4% 2% % Fig. 7. Estimation errors in area and power at with sparse only training sets (i.e., 64 data points for training). 1 More precisely, the resulting training sets omit all values of {B = 7}, or of {P = 9}, or of {V = 7}, or of {F = 64}.

4 IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, IV. ORION3. DISTRIBUTION We now describe ORION3. software architecture, extensibility with new models and training/testing datasets, and details of the ORION3. software distribution. A. Software Architecture and Extensibility. ORION3. uses a modular software architecture and is written in C and MATLAB vr212b. Figure 8 shows the high-level software architecture, how router configuration is read by the tool and how models are invoked. ORION3. offers command-line options for the user to choose (1) ORION3. vs. ORION models, (2) specific ORION3. modeling techniques (basic, lsqr, rbf, kg, mars, svm), and (3) training and/or testing datasets when any of {lsqr, rbf, kg, mars, svm} is used. As with ORION, users can configure microarchitecture parameters such as flit-width, #input and output buffers, #virtual channels, #pipeline stages, type of crossbar, #ports, etc. in the SIM port.h file. These parameters are used with either the ORION models or the ORION3. basic model; the latter refers to ORION (cf. Section II), which is used by default when no options are specified by the user. Users may specify implementation and operational parameters such as technology library, size of MUXes in the crossbar, and the input load on the router from the link. We have updated the technology files with accurate leakage and internal power data from a leading foundry s GS libraries for all cell types used in modeling, and are in the process of calibrating foundry 28 library models. All models report area in µm 2, power in mw, and energy in J. Fig. 8. Software architecture of ORION3.. When choosing any of lsqr, rbf, kg, mars, svm methods, a user may optionally provide training and testing data points. ORION3. performs basic validation of such user data to ensure that it can be converted to a non-singular matrix. In the absence of user-provided data, the tool uses default training and testing data points based on the technology configured in the SIM port.h file in the PARM TECH POINT field. Users may also develop their own regression models in MATLAB: the ORION3. distribution provides a template shell script that executes the regression model in MATLAB, and the shell script is called from orion router.c using the system method in C. B. Software Distribution. ORION3. is downloadable at [2]. We provide academic MATLAB toolboxes for [21], [1], [12], and [14] under the same copyright and license agreements as available in their distributions. Doxygen-based documentation of all functions and implemented structures is provided in the distribution. V. CONCLUSION Accurate modeling for NoC area and power estimation is critical to successful early design-space exploration in the era of many-core computing. ORION, while very popular, has large errors versus actual implementation. This is because there is often a mismatch between the actual router RTL and the templates assumed. Also, typical design flows involve sophisticated optimizations that are difficult to characterize. We present ORION3., an open-source tool that incorporates comprehensive parametric and non-parametric modeling techniques to accurately estimate NoC power and area. Our ORION parametric models explicitly account for control and data path resources. We further refine these parametric models by least-squares regression (LSQR) on post- P&R data. ORION3. non-parametric models include four popular techniques,,, and. Our studies show that these techniques can be low-overhead and highly accurate in estimating NoC power and area, with with being more accurate than the other methods for sparse and restricted training sets. ORION3. is now available for web download [2]. ACKNOWLEDGMENTS This work was supported in part by NSF grant SHF , the MARCO GSRC focus center, and the SRC. We thank Mr. Jeremiah Fong for developing the front-end interfaces for ORION3.. REFERENCES [1] J. Bhasker and R. Chadha, Static Timing Analysis for Nanometer Designs: A Practical Approach, Springer, 29. [2] T. Hastie, R. Tibshirani and J. Friedman, The Elements of Statistical Learning: Data Mining, Inference, and Prediction, Springer, 29. [3] K. Jeong, A. B. Kahng, B. Lin and K. Samadi, Accurate Machine Learning-Based On-Chip Router Modeling, IEEE ESL 2(3) (21), pp [4] R. Jin, W. Chen and T. W. Simpson, Comparative Studies of Metamodeling Techniques Under Multiple Modeling Criteria, Trans. Struct. Multidiscip. Optim. 23 (21), pp [5] A. B. Kahng, B. Lin and S. Nath, Explicit Modeling of Control and Data for Improved NoC Router Estimation Proc. DAC, 212, pp [6] A. B. Kahng, B. Lin and S. Nath, Comprehensive Modeling Methodologies for NoC Router Estimation, TR CS , UCSD CSE Dept., 212. [7] A. B. Kahng, B. Lin and S. Nath, Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems, Proc. DATE, 213, pp [8] A. B. Kahng, B. Lin and K. Samadi, Improved On-Chip Router Analytical Power and Area Modeling Proc. ASP-DAC, 21, pp [9] A. B. Kahng, B. Li, L.-S. Peh and K. Samadi, ORION : A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration, Proc. DATE, 29, pp [1] S. N. Lophaven, H. B. Nielsen and J. Sondergaard, Aspects of the MATLAB Toolbox DACE, TR IMM-REP-22-13, Tech. Univ. of Deark, 22. [11] H.-S. Wang, L.-S. Peh and S. Malik, Orion: A Power-Performance Simulator for Interconnection Networks, Proc. MICRO, 22, pp [12] ARESLab. [13] Cadence Design Systems, Inc. [14] LIB. cjlin/libsvm [15] IBM Blue Gene. [16] Intel 8-Core. [17] ITRS Edition Reports and Ordering. [18] MATLAB. [19] Netmaker. rdm34/wiki [2] ORION3.. [21] 2 Manual. mjo/rbf.html [22] Stanford NoC. [23] Synopsys, Inc.

5 IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, Dear Editor and Reviewers: We are submitting ORION3.: A Comprehensive NoC Router Estimation Tool for publication in IEEE Embedded Systems Letters. In our paper, we describe ORION3., an open-source tool recently released for download from ORION3. makes a number of significant improvements over ORION (29). Quality of the release is supported by over 1 downloads by academic and industry users during the past several months. We point out that some of the ORION3. improvements to NoC parametric modeling are described in our earlier conference paper, Explicit Modeling of Control and Data for NoC Router Estimation, Proc. ACM/IEEE/EDAC Design Automation Conference, 212 (reference [5] in our submission). Following are the major contributions of ORION3.. We significantly improve accuracy of parametric models of NoC router blocks, as compared to ORION (29), by implementing ORION models described in [5]. These models are derived from analysis of post-synthesis netlists of multiple router RTL generators (synthesized using multiple commercial tools). We demonstrate further improvement of model accuracy by automatic fitting of post-layout data to the ORION models using least-squares regression. We develop methodology to derive accurate non-parametric models by applying Radial Basis Functions (), Kriging (), Multivariate Adaptive Regression Splines () and Support Vector Machines () techniques. These models automatically fit post-layout data that is specific to a commercial SP&R tool flow and technology library; separation of concerns is supported in that architects and front-end designers can perform NoC design space exploration without understanding of physical implementation issues. We provide training and testing data sets for NoC routers implemented using a leading foundry s and technologies. We describe the software architecture, user interface, and extensibility mechanisms of ORION3.. The parametric and nonparametric modeling options available to the user are (1) ORION, (2) ORION models [5], (3) ORION fitted with post-layout data using least-squares regression, (4), (5), (6), and (7). Users can seamlessly extend the ORION3. interfaces to incorporate new non-parametric area and power models, as well as new training and testing datasets. Please contact me with any questions concerning the submission. Thank you for your consideration. Sincerely, Siddhartha Nath (on behalf of co-authors Andrew B. Kahng and Bill Lin) Department of Computer Science and Engineering University of California at San Diego La Jolla, CA sinath@ucsd.edu

ORION3.0: A Comprehensive NoC Router Estimation Tool

ORION3.0: A Comprehensive NoC Router Estimation Tool IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, 2013. 1 ORION3.0: A Comprehensive NoC Router Estimation Tool Andrew B. Kahng, Fellow, IEEE, Bill Lin, Senior Member, IEEE, and Siddhartha Nath,

More information

ORION3.0: A Comprehensive NoC Router Estimation Tool

ORION3.0: A Comprehensive NoC Router Estimation Tool IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, 2013. 1 ORION3.0: A Comprehensive NoC Router Estimation Tool Andrew B. Kahng, Fellow, IEEE, Bill Lin, Senior Member, IEEE, and Siddhartha Nath,

More information

NETWORKS-ON-CHIP (NoCs) have proven to be highly

NETWORKS-ON-CHIP (NoCs) have proven to be highly IEEE EMBEDDED SYSTEMS LETTERS, VOL. XX, NO. Y, MONTH XX, 13. 1 ORION3.: A Comprehensive NoC Router Estimation Tool Andrew B. Kahng, Fellow, IEEE, Bill Lin, Senior Member, IEEE, and Siddhartha Nath, Student

More information

Comprehensive Modeling Methodologies for NoC Router Estimation

Comprehensive Modeling Methodologies for NoC Router Estimation 1 Comprehensive Modeling Methodologies for NoC Router Estimation Andrew B. Kahng Bill Lin Siddhartha Nath Abstract Networks-on-Chip (NoCs) are increasingly used in many-core architectures. ORION2.0 [18]

More information

NETWORKS-ON-CHIPS (NoCs) are emerging as the

NETWORKS-ON-CHIPS (NoCs) are emerging as the 62 IEEE EMBEDDED SYSTEMS LETTERS, VOL. 2, NO. 3, SEPTEMBER 2010 Accurate Machine-Learning-Based On-Chip Router Modeling Kwangok Jeong, Student Member, IEEE, Andrew B. Kahng, Fellow, IEEE, Bill Lin, Senior

More information

Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems

Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems + Andrew B. Kahng +, Bill Lin and Siddhartha Nath + CSE and ECE Departments, University of California at San Diego. abk@ucsd.edu,

More information

High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes

High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes + Andrew B. Kahng +, Bill Lin and Siddhartha Nath + CSE and ECE Departments, University of California at San Diego {abk, billlin,

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Chung-Kuan Cheng, Andrew B. Kahng, Kambiz Samadi and Amirali Shayan June 13, 2010 CSE and ECE Departments University of California,

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

The Benefits of Using Clock Gating in the Design of Networks-on-Chip

The Benefits of Using Clock Gating in the Design of Networks-on-Chip The Benefits of Using Clock Gating in the Design of Networks-on-Chip Michele Petracca, Luca P. Carloni Dept. of Computer Science, Columbia University, New York, NY 127 Abstract Networks-on-chip (NoC) are

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

Fast Flexible FPGA-Tuned Networks-on-Chip

Fast Flexible FPGA-Tuned Networks-on-Chip This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Fast Flexible FPGA-Tuned Networks-on-Chip Michael K. Papamichael, James C. Hoe

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

A General Sign Bit Error Correction Scheme for Approximate Adders

A General Sign Bit Error Correction Scheme for Approximate Adders A General Sign Bit Error Correction Scheme for Approximate Adders Rui Zhou and Weikang Qian University of Michigan-Shanghai Jiao Tong University Joint Institute Shanghai Jiao Tong University, Shanghai,

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

Prediction Router: Yet another low-latency on-chip router architecture

Prediction Router: Yet another low-latency on-chip router architecture Prediction Router: Yet another low-latency on-chip router architecture Hiroki Matsutani Michihiro Koibuchi Hideharu Amano Tsutomu Yoshinaga (Keio Univ., Japan) (NII, Japan) (Keio Univ., Japan) (UEC, Japan)

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on on-chip Architecture Avinash Kodi, Ashwini Sarathy * and Ahmed Louri * Department of Electrical Engineering and

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit

Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit Alian Engroff, Leonardo Tomazine Neto, Edson Schlosser and Alessandro Girardi

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Multicycle-Path Challenges in Multi-Synchronous Systems

Multicycle-Path Challenges in Multi-Synchronous Systems Multicycle-Path Challenges in Multi-Synchronous Systems G. Engel 1, J. Ziebold 1, J. Cox 2, T. Chaney 2, M. Burke 2, and Mike Gulotta 3 1 Department of Electrical and Computer Engineering, IC Design Research

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

NISC Application and Advantages

NISC Application and Advantages NISC Application and Advantages Daniel D. Gajski Mehrdad Reshadi Center for Embedded Computer Systems University of California, Irvine Irvine, CA 92697-3425, USA {gajski, reshadi}@cecs.uci.edu CECS Technical

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

ORION 2.0: A Power-Area Simulator for Interconnection Networks REFERENCES

ORION 2.0: A Power-Area Simulator for Interconnection Networks REFERENCES IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 1, JANUARY 2012 191 according to the simulation results. In order to mitigate the impact two techniques may be used: 1) design

More information

KiloCore: A 32 nm 1000-Processor Array

KiloCore: A 32 nm 1000-Processor Array KiloCore: A 32 nm 1000-Processor Array Brent Bohnenstiehl, Aaron Stillmaker, Jon Pimentel, Timothy Andreas, Bin Liu, Anh Tran, Emmanuel Adeagbo, Bevan Baas University of California, Davis VLSI Computation

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Performance Explorations of Multi-Core Network on Chip Router

Performance Explorations of Multi-Core Network on Chip Router Performance Explorations of Multi-Core Network on Chip Router U.Saravanakumar Department of Electronics and Communication Engineering PSG College of Technology Coimbatore, India saran.usk@gmail.com R.

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Lecture 22: Router Design

Lecture 22: Router Design Lecture 22: Router Design Papers: Power-Driven Design of Router Microarchitectures in On-Chip Networks, MICRO 03, Princeton A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS

DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS 1 U.SARAVANAKUMAR, 2 R.RANGARAJAN 1 Asst Prof., Department of ECE, PSG College of Technology, Coimbatore, INDIA 2 Professor & Principal, Indus

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

Manish Vachharajani Fall 2004

Manish Vachharajani Fall 2004 ECEN 5003-001: Evaluating Processor Microarchitectures Manish Vachharajani Fall 2004 August 23, 2004 ECEN 5003-001 Lecture 4 1 Contribution of Microarchitecture Graph courtesy Sanjay Patel, data courtesy

More information

Design and Implementation of a Super Scalar DLX based Microprocessor

Design and Implementation of a Super Scalar DLX based Microprocessor Design and Implementation of a Super Scalar DLX based Microprocessor 2 DLX Architecture As mentioned above, the Kishon is based on the original DLX as studies in (Hennessy & Patterson, 1996). By: Amnon

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Design Tools for 100,000 Gate Programmable Logic Devices

Design Tools for 100,000 Gate Programmable Logic Devices esign Tools for 100,000 Gate Programmable Logic evices March 1996, ver. 1 Product Information Bulletin 22 Introduction The capacity of programmable logic devices (PLs) has risen dramatically to meet the

More information

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) OpenSMART (https://tinyurl.com/get-opensmart)

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial Table of Contents Introduction... 3 Tutorial Requirements... 3 Synphony Model Compiler ME (Microsemi

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol.

Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol. Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol. 6937, 69370N, DOI: http://dx.doi.org/10.1117/12.784572 ) and is made

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

arxiv: v1 [cs.lg] 5 Mar 2013

arxiv: v1 [cs.lg] 5 Mar 2013 GURLS: a Least Squares Library for Supervised Learning Andrea Tacchetti, Pavan K. Mallapragada, Matteo Santoro, Lorenzo Rosasco Center for Biological and Computational Learning, Massachusetts Institute

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 091210a) September 12, 2010 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, and Yiannakis Sazeides University of Cyprus

More information

MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect

MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect Chris Fallin, Greg Nazario, Xiangyao Yu*, Kevin Chang, Rachata Ausavarungnirun, Onur Mutlu Carnegie Mellon University *CMU

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Siew-Kei Lam Centre for High Performance Embedded Systems, Nanyang Technological University, Singapore (assklam@ntu.edu.sg)

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica A New Register Allocation Scheme for Low Power Data Format Converters Kala Srivatsan, Chaitali Chakrabarti Lori E. Lucke Department of Electrical Engineering Minnetronix, Inc. Arizona State University

More information

Machine Learning Based Variation Modeling and Optimization for 3D ICs

Machine Learning Based Variation Modeling and Optimization for 3D ICs J. lnf. Commun. Converg. Eng. 14(4): 258-267, Dec. 2016 Regular paper Machine Learning Based Variation Modeling and Optimization for 3D ICs Sandeep Kumar Samal 1, Guoqing Chen 2, and Sung Kyu Lim 1*, Member,

More information

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Andrew M. Scott, Mark E. Schuelein, Marly Roncken, Jin-Jer Hwan John Bainbridge, John R. Mawer, David L. Jackson, Andrew

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 092509a) September 25, 2009 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Welcome to CS250 VLSI Systems Design

Welcome to CS250 VLSI Systems Design Image Courtesy: Intel Welcome to CS250 VLSI Systems Design 9/2/10 Yunsup Lee YUNSUP LEE Email: yunsup@cs.berkeley.edu Please add [CS250] in the subject Will try to get back in a day CS250 Newsgroup Post

More information

Clocked and Asynchronous FIFO Characterization and Comparison

Clocked and Asynchronous FIFO Characterization and Comparison Clocked and Asynchronous FIFO Characterization and Comparison HoSuk Han Kenneth S. Stevens Electrical and Computer Engineering University of Utah Abstract Heterogeneous blocks, IP reuse, network-on-chip

More information