Designing with Nios II and SOPC Builder

Size: px
Start display at page:

Download "Designing with Nios II and SOPC Builder"

Transcription

1 Designing with Nios II and SOPC Builder Copyright Altera Corporation The Programmable Solutions Company Devices Stratix II Stratix Stratix GX Cyclone II Cyclone MAX II Devices (continued) Mercury Devices ACEX Devices FLEX Devices MAX Devices 2 Intellectual Property (IP) Signal Processing Communications Embedded Processors Nios, Nios II Tools Quartus II Software Quartus II Web Edition SOPC Builder DSP Builder Nios II IDE

2 Nios II Hardware Development Copyright Altera Corporation What is Nios II? Altera s Second Generation Soft-Core 32 Bit RISC Microprocessor - Nios Developed II Plus Internally All Peripherals By Altera Written In HDL - Can Harvard Be Targeted Architecture For All Altera FPGAs - Synthesis Royalty-Free Using Quartus II Integrated Synthesis Nios II CPU Debug On-Chip ROM On-Chip RAM Cache Avalon Switch Fabric UART GPIO Timer SPI SDRAM Controller FPGA 4

3 Problem: Reduce Cost, Complexity & Power I/O I/O CPU Flash SDRAM I/O I/O I/O I/O FPGA DSP CPU DSP Solution: Replace External Devices with Programmable Logic 5 Problem: Reduce Cost, Complexity & Power System On A Programmable Chip (SOPC) FPGA Flash SDRAM Solution: CPU is a Critical Replace Control External Function Devices Required with for Programmable System-Level Logic Integration 6

4 FPGA Hardware Design Flow Design Specification LE M4K M512 I/O Design Entry/RTL Coding SOPC Builder - Behavioral or Structural Description of Design RTL Simulation Functional Simulation (Modelsim, - Simulation (Modelsim, Quartus II) Quartus II) Verify Logic Model & Data Flow - Verify Logic Model & Data Flow (No Timing Delays) (No Timing Delays) Synthesis - Translate Design into Device Specific Primitives - Optimization to Meet Required Area & Performance Constraints - Spectrum, Synplify, Quartus II Place & Route - Map Primitives to Specific Locations Inside Target Technology with Reference to Area & Performance Constraints - Specify Routing Resources to Be Used 7 FPGA Hardware Design Flow t clk Timing Analysis - Verify Performance Specifications Were Met - Static Timing Analysis Gate Level Simulation - Timing Simulation - Verify Design Will Work in Target Technology Test FPGA on PC Board - Program & Test Device on Board - Use SignalTap II for Debugging 8

5 Development Kits, Stratix & Cyclone Edition Serial RS-232 Connectors Download /JTAG Debug Connector Power Connector 10/100 Ethernet MAC/PHY & RJ-45 Connector CPU Reset 8 MB Flash Expansion Prototype Connectors (40 I/O pins each) 16 MB SDRAM 1MB SRAM Compact Flash (Connector Mounted on Back) Buttons LEDs 7 Segment Configuration Controller (MAX 7128AE) Configuration Control 9 Standard Design Block Diagram Ethernet MAC/PHY 1MB SRAM 8MB FLASH 16MB Compact FLASH 32MB SDRAM Nios II Processor 32-Bit Nios II Processor IRQ IRQ #(6) Address (32) Read Write Data In (32) Data Out (32) Avalon Switch Fabric Tri-State Bridge ROM (with Monitor) LED PIO Tri-State Bridge General Purpose Timer LCD PIO Compact Flash PIOs Periodic Timer 7-Segment LED PIO SDRAM Controller UART Reconfig PIO Button PIO Level Shifter On-Chip Off-Chip 8 LEDs Expansion Header J12 2 Digit Display 4 Momentary buttons 10

6 11 Nios II System Architecture Nios II CPU On-Chip Debug Core Off-Chip Software Trace Memory Instr. Data Address Decoder Interrupt Controller Wait State Generation Data in Multiplexer Master Arbitration Dynamic Bus Sizing Avalon Master/ Slave Port Interfaces Avalon Switch Fabric UART 0 Timer 0 SPI 0 GPIO 0 DMA 0 Memory Interface UART n Timer n SPI n GPIO n DMA n Memory Interface InterfaceUser-Defined Interface Nios II Block Diagram JTAG interface to Software Debugger reset clock Hardware- Assisted Debug Module Nios II Processor Core Program Controller & Address Generation General Purpose Registers r0 to r31 Instruction Cache Instruction Master Port irq[31..0] Custom I/O Signals Custom Instruction Logic Exception Controller Interrupt Controller Arithmetic Logic Unit Control Registers ctl0 to ctl4 Data Cache Data Master Port 12

7 Nios II Processor Architecture Classic Pipelined RISC Machine 32 General Purpose Registers 3 Instruction Formats 32-Bit Instructions 32-Bit Data Path Flat Register File Separate Instruction and Data Cache (configurable sizes) Branch Prediction 32 Prioritized Interrupts Custom Instructions JTAG-Based Hardware Debug Unit 13 Nios II Versions Nios II Processor Comes In Three ISA Compatible Versions FAST: Optimized for Speed STANDARD: Balanced for Speed and Size ECONOMY: Optimized for Size Software Code is Binary Compatible No Changes Required When CPU is Changed 14

8 Binary Compatibility / Flexible Performance Nios II /f Fast Nios II /s Standard Nios II /e Economy Pipeline 6 Stage 5 Stage None H/W Multiplier & Barrel Shifter 1 Cycle 3 Cycle Emulated In Software Branch Prediction Dynamic Static None Instruction Cache Configurable Configurable None Data Cache Configurable None None Logic Usage (Logic Elements) Custom Instructions Up to Hardware Multiplier Acceleration Nios II Economy version - No Multiply Hardware Uses GNUPro Math Library to Implement Multiplier Nios II Standard - Full Hardware Multiplier 32 x in 3 Clock Cycles if DSP block present, else uses software only multiplier Nios II Fast - Full Hardware Multiplier 32 x in 1 Clock Cycles if DSP block present, else uses software only multiplier Acceleration Hardware None Standard MUL in Stratix Fast MUL in Stratix Clock Cycles (32 x 32 32)

9 Variation with FPGA Device Fast DMIPS Standard Economy Logic Elements Stratix II Stratix Cyclone HC-Stratix 17 Nios II: Hard Numbers Stratix II MHz 1180 LEs 1 of 8 DSP 4K Icache, 2K Dcache Stratix Cyclone Nios II/f Nios II/s Nios II/e Stratix 2S10-C MHz 1800 LEs 1 of 8 DSP 4K Icache, 2K Dcache Stratix 1S10-C MHz 1800 LEs MHz 800 LEs 4K Icache, No Dcache Stratix 2S10-C MHz 1200 LEs 4K Icache, No Dcache Stratix 1S10-C MHz 1200 LEs MHz 400 LEs No Icache, No Dcache Stratix 2S10-C MHz 550 LEs No Icache, No Dcache Stratix 1S10-C MHz 550 LEs 4K Icache, 1K Dcache Cyclone 1C4-C6 2K Icache, No Dcache Cyclone 1C4-C6 No Icache, No Dcache Cyclone 1C4-C6 * FMax Numbers Based Reference Design Running From On-Chip Memory (Nios II/f 1.15 DMIPS / MHz) 18

10 SOPC Builder System Contents Page Over 60 Cores Available Today Altera, Partner & User Cores Processors Memory Interfaces Peripherals Bridges Hardware Accelerators Import User Logic (ie. custom peripherals) Web-Based IP Deployment 19 Nios II CPU Configured in SOPC Builder Hardware designer selects which Nios II version to use when creating system 20

11 Selecting JTAG Debug Core Configuration is chosen when hardware designer selects appropriate Nios II processor core 21 SOPC Builder More cpu Settings Page 22

12 SOPC Builder System Generation Page 23 SOPC Builder Produces a.ptf File Text file that records SOPC Builder edits Describes Nios II System Used by software development tools 24

13 Integrate SOPC Builder O/P in Quartus II Integrate SOPC Builder block symbol to Quartus II schematic (as shown below) and compile design Or, instantiate top module into your HDL design and compile 25 New Peripherals for Nios II System ID Peripheral Used to Ensure Hardware/ Software Version Synchronization Simple 2 read-only register peripheral containing hardware ID tags. Register 1 contains random number Register 2 contains time and date when system was generated in SOPC Builder Can be checked at runtime to ensure that the software to be downloaded matches the hardware image Memory Interfaces EPCS Serial Flash Controller On-Chip RAM, ROM Off-Chip SRAM CFI Flash LCD Display 26

14 New Peripherals for Nios II JTAG UART Single JTAG Connection For: Device Configuration Flash Programming Code Download Debug Target STDIO (printing) Compact Flash Interface Mass Storage Support True IDE Mode Compact Flash Mode Software Supports Low-Level API MicroC/OS-II File System Support µclinux File System Support Supported through 27 Project Directories Hardware HDL Source & Netlist db - Quartus project database Software Application source code Library files Simulation Testbench Automatically generated test memory and vectors 28

15 Nios II Software Development Copyright Altera Corporation SOPC Builder Flow Processor Library SOPC Builder GUI Configure Processor Custom Instructions Peripheral Library Hardware Development HDL Source Files Testbench Select & Configure Peripherals, IP Connect Blocks Generate IP Modules Software Development Nios II IDE C Header files Custom Library Peripheral Drivers Synthesis & Fitter User Design Other IP Blocks Quartus II Hardware Configuration File Verification & Debug Altera PLD JTAG, Serial, or Ethernet Executable Code On-Chip Debug Software Trace Hard Breakpoints SignalTap II Compiler, Linker, Debugger User Code Libraries RTOS GNU Tools 30

16 Nios II IDE (Integrated Development Environment)* Leading Edge Software Development Tool Target Connections Hardware (JTAG) Instruction Set Simulator ModelSim -Altera Software Advanced Hardware Debug Features Software and Hardware Break Points, Data Triggers, Trace Flash Memory Programming Support * Based on Eclipse Project 31 Opening the Nios II IDE Launch the Nios II IDE from the SOPC Builder or from the Windows Start menu 32

17 Nios II IDE List of Open Projects File Viewer Window (for C code, C++, and assembly*) Terminal window 33 Note: C++ files must have extension.cpp In-line assembly code offset by asm(); Nios II IDE C/C++ Projects/Navigator Lists all open projects Displays source files associated with project List all open and closed projects Allows you to drag and drop new files into existing projects 34

18 Creating a C/C++ Application File > New > Project 35 Creating a C/C++ Application Link to a System Library - Select a pre-existing library - Or create a new library 36

19 This Creates Two Software Projects - Application and System Library Project Application Project - contains application source code System Library Project - contains system header file, etc. Drivers Directory - contains all device drivers DO NOT DELETE! 37 Application and System Library Projects Application Projects build executables System Library Projects contain interface to the hardware Nios II device drivers (Hardware Abstraction Layer) Optional RTOS (MicroC/OS-II) Optional software components (Lightweight TCP/IP stack, Read Only Zip File System) 38

20 System Library Options Select RTOS Specify stdio devices Partition the memory map 39 Software Compilation To compile a software application, highlight your project and select Build Project from the Projects menu 40

21 Directory Structure After Compilation Application Project System Library Project 41 Hardware Abstraction Layer A lightweight runtime environment for Nios II software Provides a level of abstraction between application code and low level hardware HAL libraries are generated by Nios II IDE A HAL contains: device drivers initialization software file system stdio, stderr 42

22 Hardware Abstraction Layer Provides generic device models for classes of peripherals common in embedded systems eg. timers, I/O peripherals, etc. Gives a consistent POSIX-like API, regardless of underlying hardware Make programming as familiar as possible to software engineers who may not be familiar with the specific peripheral architectures ANSI C (through the Newlib library) UNIX style interface (i.e. POSIX like) Altera extensions where standards don t exist or were inappropriate (watch for the alt_* extension) 43 Nios II HAL: Runtime Library The HAL UNIX Style Functions are the glue between the C library and the device drivers Device Driver User Program C Standard Library Device Driver HAL API Device Driver Nios II Processor System Hardware HAL API _exit() close() closedir() fstat() getpid() gettimeofday() ioctl() isatty() kill() lseek() open() opendir read() readdir() rewinddir() sbrk() settimeofday() stat() usleep() wait() write() 44

23 HAL File System / /dev /mnt /dev/jtag_uart0 /dev/lcd0 /mnt/rozipfs /mnt/rozipfs/myfile1 Device names match those set in SOPC builder. Can only access nodes, not directories. All paths must be absolute (no current directory) /mnt/rozips/myfile21 45 Familiar File/Device Access ANSI C: fp = fopen ( /dev/lcd0, w ); fprintf (fp, %s, msg); UNIX Style: fd = open ( /dev/lcd0, O_WRONLY); write (fd, msg, strlen(msg)); Newlib also supports C++ streams: ofstream ofp( /dev/lcd0, ios::out); ofp << msg; Existing code (outside the Nios world) uses these interfaces. Porting is now much easier. Use of existing standards means there s nothing new to learn. 46

24 HAL System Header File SOPC Builder System Contents system.h 47 System Library Settings system.h Contains macro definitions for system parameters, including peripheral configuration, for instance: Hardware configuration of the peripheral Base address IRQ priority (if any) Symbolic name for peripheral Does not include: static information, function prototypes, or device structures (unlike the old excalibur.h) Located in the syslib project directory Rarely necessary to include it explicitly in your application code, which improves rebuild time 48

25 system.h - example Defines system settings and peripheral configurations: Replaces excalibur.h (from Nios) /* * system configuration * */ #define ALT_SYSTEM_NAME "std_1s10es" #define ALT_CPU_NAME "cpu" #define ALT_CPU_ARCHITECTURE "altera_nios2" #define ALT_DEVICE_FAMILY "STRATIX" #define ALTERA_NIOS_DEV_BOARD_STRATIX_1S10_ES #define ALT_STDIN "/dev/jtag_uart" #define ALT_STDOUT "/dev/jtag_uart" #define ALT_STDERR "/dev/jtag_uart" #define ALT_CPU_FREQ #define ALT_CPP_CONSTRUCTORS #define ALT_IRQ_BASE NULL... /* * button_pio configuration * */ #define BUTTON_PIO_NAME "/dev/button_pio" #define BUTTON_PIO_TYPE "altera_avalon_pio" #define BUTTON_PIO_BASE 0x #define BUTTON_PIO_IRQ 2 #define BUTTON_PIO_HAS_TRI 0 #define BUTTON_PIO_HAS_OUT 0 #define BUTTON_PIO_HAS_IN 1 #define BUTTON_PIO_CAPTURE 1 #define BUTTON_PIO_EDGE_TYPE "ANY" #define BUTTON_PIO_IRQ_TYPE "EDGE" #define BUTTON_PIO_FREQ HAL References Each HAL project references library routines and drivers for the components included in your Nios II system 50

26 Reading/Writing Hardware in Nios II Instead use I/O macros to access hardware I/O macros bypass the cache for hardware accesses They set bit 31 of address bus high (ie. control bit) IORD(BASE, REGNUM) Reads value at register REGNUM offset from base address BASE IOWR(BASE,REGNUM,DATA) Writes DATA to register REGNUM offset from base address BASE BASE BASE+2 BASE+4 REGNUM = 0 REGNUM = 1 REGNUM = 2 REGNUM = 3 REGNUM = 4 51 Header Files for Nios II Peripherals Each Nios II peripheral has specific read/write macros for each register Example: UART (altera_avalon_uart_regs.h) #define IORD_ALTERA_AVALON_UART_RXDATA(base) IORD(base, 0) #define IOWR_ALTERA_AVALON_UART_RXDATA(base, data) IOWR(base, 0, data) #define IORD_ALTERA_AVALON_UART_TXDATA(base) IORD(base, 1) #define IOWR_ALTERA_AVALON_UART_TXDATA(base, data) IOWR(base, 1, data) #define IORD_ALTERA_AVALON_UART_STATUS(base) IORD(base, 2) #define IOWR_ALTERA_AVALON_UART_STATUS(base, data) IOWR(base, 2, data) 52

27 Interrupts HAL API for ISRs - Functions alt_irq_register() Associates interrupt with your ISR function. alt_irq_disable_all() Disables all IRQs alt_irq_enable_all() Enables all IRQs alt_irq_interruptible() Used in ISR function body. Allows ISR to be interrupted by higher priority IRQs. alt_irq_non_interruptible() Used to make ISRs uninterruptible (default behavior). 53 Nios II OS / RTOS Support Product Provider Source Code Standards TCP/IP Stack File System Other * MicroC/OS-II Micrium Yes RTCA/DO-178B Opt. Opt. GUI Flash * Lightweight IP TCP/IP Stack Open Source Yes Sockets API IP, ICMP, UDP, TCP µc/os-ii Support ** Nucleus Plus ATI/Mentor Yes OSEK µitron Opt. Opt. GUI, SNMP RMON, SPAN µclinux Open Source (GPL) Yes Incl. Many, inc. FAT and JFFS2 Extensive drivers and middlewear, inc USB, IPSec, etc. KROS KROS Technologies Yes POSIX Opt. Opt. 54 * Included in Nios II Development Kits ** Evaluation Version Included in Nios II Development Kits <continued on next slide>

28 Nios II MicroC/OS-II Single-seat developers license included for free with Nios II kits Licensing fee req d when you productize your system Full source code included Preemptive operating system Small footprint Code Size (min 5KB, max 20KB) Data Space (min 1KB, max 5KB) Supports Semaphores, and Mailboxes for task synchronization 55 Nios II MicroC/OS-II 56

29 Software Run & Debug Copyright Altera Corporation Running Code On A Target Nios II IDE can be used to download code to target board 58

30 Running Code On A Target Download messages, stdout and stdin appear in console window 59 Nios II IDE Run Options Nios II IDE > Run > Run 60

31 Nios II IDE JTAG Debugger Requirements Must have JTAG Debug Core enabled in CPU 61 Nios II IDE Debug Perspective Basic Debug Run Controls Stack View Active Debug Sessions Double-click to add breakpoints Memory View Variables Registers Signals 62

32 Nios II IDE Debugger Step Return Step Over Step Into Step with Filters Disconnect Terminate Suspend Resume Run last Configuration Debug last Configuration 63 Nios II IDE Debugger Standard debug windows memory registers Variables breakpoints expressions signals 64

33 Nios II IDE: Debugger Debug each CPU by selecting it s program thread 65 Appendix Copyright Altera Corporation

34 Nios II/f Fast version Pipelined RISC Architecture 32-Bit Instruction and Data Paths 6 Stage Pipeline 32 General Purpose Registers 32 External Interrupt Sources Configurable Size Instruction Cache Dynamic Branch Prediction Hardware Multiply Barrel Shifter Custom Instructions Configurable Size Data Cache Hardware Breakpoints Optional Hardware Divide 135MHz 1.2 DMIPS/MHz <1800 LEs and <900 ALMs 67 Nios II/s Standard version Pipelined RISC Architecture 32-Bit Instruction and Data Paths 5 Stage Pipeline 32 General Purpose Registers 32 External Interrupt Sources Configurable Size Instruction Cache Branch Prediction Hardware Multiply Barrel Shifter Custom Instructions 135MHz 0.75 DMIPS/MHz <1400 LEs and <700 ALMs 68

35 Nios II/e Economy version Pipelined RISC Architecture 32-Bit Instruction and Data Paths 5 Stage Single Instruction Pipeline 32 General Purpose Registers 32 External Interrupt Sources Custom Instructions 150 MHz 0.16 DMIPS/MHz <700 LEs and <350 ALMs 69

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3. September 5, 2007 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

ECE332, Week 2, Lecture 3

ECE332, Week 2, Lecture 3 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Upgrading Nios Processor Systems to the Nios II Processor

Upgrading Nios Processor Systems to the Nios II Processor Upgrading Nios Processor Systems to the Nios II Processor July 2006 - ver 1.1 Application Note 350 Overview Audience The purpose of this document is to guide you through the process of migrating to the

More information

Enabling New Low-Cost Embedded System Using Cyclone III FPGAs

Enabling New Low-Cost Embedded System Using Cyclone III FPGAs Enabling New Low-Cost Embedded System Using Cyclone III FPGAs Unprecedented combination of low power, high functionality, and low cost to enable your new designs Agenda Historical perceptions of FPGAs

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Nios II Software Developer Handbook

Nios II Software Developer Handbook Nios II Software Developer Handbook 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information NII5V2-5.0 Copyright 2005 Altera Corporation. All rights reserved.

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

Embedded Computing Platform. Architecture and Instruction Set

Embedded Computing Platform. Architecture and Instruction Set Embedded Computing Platform Microprocessor: Architecture and Instruction Set Ingo Sander ingo@kth.se Microprocessor A central part of the embedded platform A platform is the basic hardware and software

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Guidelines for Developing a Nios II HAL Device Driver

Guidelines for Developing a Nios II HAL Device Driver Guidelines for Developing a Nios II HAL Device Driver August 2007, ver. 1.0 Application Note 459 Introduction This application note explains the process of developing and debugging a hardware abstraction

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

SISTEMI EMBEDDED. Embedded Systems SOPC Design Flow. Federico Baronti Last version:

SISTEMI EMBEDDED. Embedded Systems SOPC Design Flow. Federico Baronti Last version: SISTEMI EMBEDDED Embedded Systems SOPC Design Flow Federico Baronti Last version: 20160229 Definition(s) of Embedded Systems Systems with embedded processors Hamblen, Hall, Furman, Rapid Prototyping Of

More information

Nios II Processor Reference Handbook

Nios II Processor Reference Handbook Nios II Processor Reference Handbook Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com NII5V1-1.1 Copyright 2004 Altera Corporation. All rights reserved.

More information

Nios Development Kit, Stratix Edition

Nios Development Kit, Stratix Edition Nios Development Kit, Stratix Edition User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.0 Document Date: January 2003 UG-NIOSSTX-1.0 P25-08785-00

More information

System Cache (CMS-T002/CMS-T003) Tutorial

System Cache (CMS-T002/CMS-T003) Tutorial Synaptic Labs' System Cache (CMS-T002/CMS-T003) Tutorial T006A: Arduino Style Nios II/e embedded system: A Qsys Nios II Reference design based on S/Labs' HBMC IP and S/Labs' System Cache for accelerating

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Creating Multiprocessor Nios II Systems Tutorial

Creating Multiprocessor Nios II Systems Tutorial Creating Multiprocessor Nios II Systems Tutorial May 2006, Version 6.0 Tutorial Introduction...2 Benefits of Multiprocessor Systems...2 Nios II Multiprocessor Systems...2 Hardware Design Considerations...3

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

9. PIO Core. Core Overview. Functional Description

9. PIO Core. Core Overview. Functional Description 9. PIO Core NII51007-9.0.0 Core Overview The parallel input/output (PIO) core with Avalon interface provides a memory-mapped interface between an Avalon Memory-Mapped (Avalon-MM) slave port and general-purpose

More information

Simulating Nios II Embedded Processor Designs

Simulating Nios II Embedded Processor Designs Simulating Nios II Embedded Processor Designs May 2004, ver.1.0 Application Note 351 Introduction The increasing pressure to deliver robust products to market in a timely manner has amplified the importance

More information

Nios II Classic Software Developer s Handbook

Nios II Classic Software Developer s Handbook Nios II Classic Software Developer s Handbook Subscribe NII5V2 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Overview of Nios II Embedded Development...1-1 Prerequisites for Understanding

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

Building A Custom System-On-A-Chip

Building A Custom System-On-A-Chip Building A Custom System-On-A-Chip Only a few years ago, we could only dream about building our very own custom microprocessor system on a chip. The manufacturing cost for producing a custom chip is just

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL

SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL SISTEMI EMBEDDED AA 2012/2013 JTAG CIRCUITRY JTAG DEBUG MODULE JTAG-UART PERIPHERAL Joint Test Action Group (JTAG) (1) Established in 1985 to develop a method to test populated PCBs A way to access IC

More information

Nios II Classic Software Developer s Handbook

Nios II Classic Software Developer s Handbook Nios II Classic Software Developer s Handbook Subscribe NII5V2 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Overview of Nios II Embedded Development...1-1 Prerequisites for Understanding

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

esi-risc Development Suite Getting Started Guide

esi-risc Development Suite Getting Started Guide 1 Contents 1 Contents 2 2 Overview 3 3 Starting the Integrated Development Environment 4 4 Hello World Tutorial 5 5 Next Steps 8 6 Support 10 Version 2.5 2 of 10 2011 EnSilica Ltd, All Rights Reserved

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

Creating projects with Nios II for Altera De2i-150. By Trace Stewart CPE 409

Creating projects with Nios II for Altera De2i-150. By Trace Stewart CPE 409 Creating projects with Nios II for Altera De2i-150 By Trace Stewart CPE 409 CONTENTS Chapter 1 Hardware Design... 1 1.1 Required Features... 1 1.2 Creation of Hardware Design... 1 Chapter 2 Programming

More information

Debugging Nios II Systems with the SignalTap II Logic Analyzer

Debugging Nios II Systems with the SignalTap II Logic Analyzer Debugging Nios II Systems with the SignalTap II Logic Analyzer May 2007, ver. 1.0 Application Note 446 Introduction As FPGA system designs become more sophisticated and system focused, with increasing

More information

Embedded Design Handbook

Embedded Design Handbook Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Introduction... 6 1.1 Document Revision History... 6 2 First Time Designer's Guide... 7 2.1 FPGAs and Soft-Core Processors...

More information

Guidelines for Developing a Nios II HAL Device Driver

Guidelines for Developing a Nios II HAL Device Driver Guidelines for Developing a Nios II HAL Device Driver AN-459-4.0 Application Note This application note explains the process of creating and debugging a hardware abstraction layer (HAL) software device

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core DQ8051 Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core COMPANY OVERVIEW Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

Nios II Software Developer s Handbook

Nios II Software Developer s Handbook Nios II Software Developer s Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com Preliminary Information NII5V2-7.1 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Introduction to the Altera SOPC Builder Using Verilog Design

Introduction to the Altera SOPC Builder Using Verilog Design Introduction to the Altera SOPC Builder Using Verilog Design This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the Nios II processor

More information

Designing with Nios II. Exercise Manual

Designing with Nios II. Exercise Manual Designing with Nios II Exercise Manual Lab 1 Creating a Nios II System 2 Hardware set up requirements: ByteBlaster, ByteBlaster II, Byte Blaster MV, or USB-Blaster connected between computer and ByteBlaster

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001: A Qsys based Nios II Reference design with HelloWorld test running in HyperRAM device using S/Labs' HBMC IP This tutorial describes a simple

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001A: A Qsys based Nios II Reference design with a simple self test of the HyperFlash and HyperRAM device using S/Labs' HBMC IP This tutorial

More information

Instantiating the Core in SOPC Builder on page 2 2 Device Support on page 2 3 Software Programming Model on page 2 3

Instantiating the Core in SOPC Builder on page 2 2 Device Support on page 2 3 Software Programming Model on page 2 3 ctl Avalon-MM Slave Port ide Avalon-MM Slave Port 2. CompactFlash Core QII55005-9.1.0 Core Overview Functional Description The CompactFlash core allows you to connect SOPC Builder systems to CompactFlash

More information

3-D Accelerator on Chip

3-D Accelerator on Chip 3-D Accelerator on Chip Third Prize 3-D Accelerator on Chip Institution: Participants: Instructor: Donga & Pusan University Young-Hee Won, Jin-Sung Park, Woo-Sung Moon Sam-Hak Jin Design Introduction Recently,

More information

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction Introduction to the Altera SOPC Builder Using Verilog Designs 1 Introduction This tutorial presents an introduction to Altera s SOPC Builder software, which is used to implement a system that uses the

More information

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10

TKT-2431 SoC design. Introduction to exercises. SoC design / September 10 TKT-2431 SoC design Introduction to exercises Assistants: Exercises and the project work Juha Arvio juha.arvio@tut.fi, Otto Esko otto.esko@tut.fi In the project work, a simplified H.263 video encoder is

More information

Nios II Processor Reference Handbook

Nios II Processor Reference Handbook Nios II Processor Reference Handbook 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com NII5V1-6.1 Copyright 2006 Altera Corporation. All rights reserved. Altera, The Programmable

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005B: A Qsys based Nios II Reference design with a simple application running from HyperFlash and HyperRAM device using S/Labs' HBMC IP. The HyperRAM

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005C: A Qsys based Nios II Reference design with a simple HyperFlash test device using S/Labs' HBMC IP and S/Labs' Memory Region Mapper IP This

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Section II. Peripheral Support

Section II. Peripheral Support Section II. Peripheral Support This section provides information about the Nios II peripherals. This section includes the following chapters: Chapter 5, SDRAM Controller with Avalon Interface Chapter 6,

More information

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess

Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction Microprocess ECEN 4633/5633 Hybrid Embedded Systems Fall 2010 Semester Dr. David Ward Today s Agenda Background/Experience Course Information Altera DE2B Board do Overview Introduction to Embedded Systems Design Abstraction

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Using NIOS 2 Embedded Design Suite 10

Using NIOS 2 Embedded Design Suite 10 Quick Start Guide Embedded System Course LAP IC EPFL 2010 Version 0.1 (Preliminary) Cagri Onal, René Beuchat 1 Installation and documentation Main information in this document has been found on: http:\\www.altera.com

More information

SISTEMI EMBEDDED. (Software) Exceptions and (Hardware) Interrupts. Federico Baronti Last version:

SISTEMI EMBEDDED. (Software) Exceptions and (Hardware) Interrupts. Federico Baronti Last version: SISTEMI EMBEDDED (Software) Exceptions and (Hardware) Interrupts Federico Baronti Last version: 20160410 Exceptions and Interrupts Exception: a transfer of control away from a program s normal flow of

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

HW/SW Co-design of embedded systems within Alteras design environment

HW/SW Co-design of embedded systems within Alteras design environment HW/SW Co-design of embedded systems within Alteras design environment The Department of Computer Science and Electronics 2006-02-14 Examiner: Lennart Lindh Supervisor: Lennart Lindh Author: Zoltan Nagy

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

2.5G Reed-Solomon II MegaCore Function Reference Design

2.5G Reed-Solomon II MegaCore Function Reference Design 2.5G Reed-Solomon II MegaCore Function Reference Design AN-642-1.0 Application Note The Altera 2.5G Reed-Solomon (RS) II MegaCore function reference design demonstrates a basic application of the Reed-Solomon

More information

Rapidly Developing Embedded Systems Using Configurable Processors

Rapidly Developing Embedded Systems Using Configurable Processors Class 413 Rapidly Developing Embedded Systems Using Configurable Processors Steven Knapp (sknapp@triscend.com) (Booth 160) Triscend Corporation www.triscend.com Copyright 1998-99, Triscend Corporation.

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

Edge Detection Using SOPC Builder & DSP Builder Tool Flow

Edge Detection Using SOPC Builder & DSP Builder Tool Flow Edge Detection Using SOPC Builder & DSP Builder Tool Flow May 2005, ver. 1.0 Application Note 377 Introduction Video and image processing applications are typically very computationally intensive. Given

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

Introduction to VHDL Design on Quartus II and DE2 Board

Introduction to VHDL Design on Quartus II and DE2 Board ECP3116 Digital Computer Design Lab Experiment Duration: 3 hours Introduction to VHDL Design on Quartus II and DE2 Board Objective To learn how to create projects using Quartus II, design circuits and

More information

Using Tightly Coupled Memory with the Nios II Processor

Using Tightly Coupled Memory with the Nios II Processor Using Tightly Coupled Memory with the Nios II Processor TU-N2060305-1.2 This document describes how to use tightly coupled memory in designs that include a Nios II processor and discusses some possible

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

Figure 6 1 shows a block diagram of the UART core. shift register. shift register

Figure 6 1 shows a block diagram of the UART core. shift register. shift register 6. UART Core NII51010-8.1.0 Core Overview Functional Description The UART core with Avalon interface implements a method to communicate serial character streams between an embedded system on an Altera

More information

2001 Altera Corporation (1)

2001 Altera Corporation (1) 2001 Altera Corporation (1) SOPC Design Using ARM-Based Excalibur Devices Outline! ARM-based Devices Overview! Embedded Stripe! Excalibur MegaWizard! Verification Tools Bus Functional Model Full Stripe

More information

Using SOPC Builder & DSP Builder Tool Flow

Using SOPC Builder & DSP Builder Tool Flow Using SOPC Builder & DSP Builder Tool Flow August 2005, version 1.0 Application Note 394 Introduction Video and image processing typically require very high computational power. Given the increasing processing

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Nios II Studio Help System

Nios II Studio Help System Nios II Studio Help System 101 Innovation Drive San Jose, CA 95134 www.altera.com Nios II Studio Version: 8.1 Beta Document Version: 1.2 Document Date: November 2008 UG-01042-1.2 Table Of Contents About

More information

Microtronix Firefly II Module

Microtronix Firefly II Module Microtronix Firefly II Module USER MANUAL Revision 1.2.1 4056 Meadowbrook Dr. Unit 126 London, ON Canada N6L 1E3 www.microtronix.com This datasheet provides information regarding the Firefly II module.

More information

Edge Detection Reference Design

Edge Detection Reference Design Edge Detection Reference Design October 2004, ver. 1.0 Application Note 364 Introduction Video and image processing typically require very high computational power. Given the increasing processing demands,

More information

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual

HSMC-NET. Terasic HSMC-NET Daughter Board. User Manual HSMC-NET Terasic HSMC-NET Daughter Board User Manual CONTENTS Chapter 1 Introduction... 2 1.1 Features... 2 1.2 About the KIT... 3 1.3 Assemble the HSMC-NET Board... 4 1.4 Getting Help... 5 Chapter 2 Architecture...

More information

Embedded Systems. "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL

Embedded Systems. System On Programmable Chip Design Methodology using QuartusII and SOPC Builder tools. René Beuchat LAP - EPFL Embedded Systems "System On Programmable Chip" Design Methodology using QuartusII and SOPC Builder tools René Beuchat LAP - EPFL rene.beuchat@epfl.ch 3 Tools suite Goals: to be able to design a programmable

More information

NIOS II Instantiating the Off-chip Trace Logic

NIOS II Instantiating the Off-chip Trace Logic NIOS II Instantiating the Off-chip Trace Logic TRACE32 Online Help TRACE32 Directory TRACE32 Index TRACE32 Documents... ICD In-Circuit Debugger... Processor Architecture Manuals... NIOS... NIOS II Application

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

9. Verification and Board Bring-Up

9. Verification and Board Bring-Up 9. Verification and Board Bring-Up July 2011 ED51010-1.3 ED51010-1.3 Introduction This chapter provides an overview of the tools available in the Quartus II software and the Nios II Embedded Design Suite

More information