SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

Size: px
Start display at page:

Download "SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public"

Transcription

1 SoC FPGAs Your User-Customizable System on Chip

2 Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2

3 Providing the Best of Both Worlds ARM Processor System Dual Core ARM Cortex-A9 MPCore Processor 28-nm FPGA Hard Memory Controller Peripherals SoC FPGA ARM + Altera = SoC FPGAs 3

4 SoC FPGA Family Highlights Dual-core ARM Cortex-A9 MPCore processor Hard memory controller, peripherals and high-bandwidth interconnect Altera s 28-nm FPGA fabric Cyclone V FPGA and Arria V FPGA ARM s ecosystem and Altera s hardware development flow Quartus II software and Qsys system integration tool Proven virtual prototyping methodology SoC FPGA Virtual Target for device-specific software development 4

5 ARM Processor Combined with Hard IP NEON Peripherals ARM Cortex-A9 L1 Cache FPU L2 Cache Memory Controller with ECC FPU ARM Cortex-A9 L1 Cache Hard Processor System NEON Dual-core ARM Cortex-A9 MPCore processor Hard IP 800 MHz per core (industrial grade) NEON media processing engine Single/double precision floating point unit (FPU) 32-KB/32-KB L1 caches per core ECC-protected 512-KB shared L2 cache Multi-port memory controller with ECC DDR2/3, Mobile DDR and LPDDR2 Flash memory controllers with ECC QSPI (NOR), NAND and SD/SDIO/MMC Wide range of common peripherals 5

6 Advanced 28-nm FPGA Technology 200-MHz to 10-Gbps Transceivers Variable Precision DSP Block 28-nm FPGA Memory Controller with ECC PCIe PCIe 28-nm low-power (28LP) FPGA fabric Hard IP The optimal choice for addressing today s power- and cost-constrained applications Lowest absolute power Up to three memory controllers with ECC Variable precision DSP technology Up to two hard PCIe Gen 2 x4 High-speed transceivers operating up to 10 Gbps 6

7 Superior System Bandwidth with Data Integrity 2x 10/100/1000 Ethernet 2x USB 2.0 OTG Memory bandwidth >170 Gbps Gen2 x4 (hard) Gen2 x8 (soft) Peripherals 161 to 216 I/O Memory Controller with ECC Memory Controller with ECC 66 to 528 I/O PCIe PCIe Hard Processor System 28-nm FPGA >125-Gbps Interconnect NEON ARM Cortex-A9 L1 Cache FPU FPU L2 Cache ARM Cortex-A9 L1 Cache NEON Variable Precision DSP Block Up to 6 x 10 Gbps Up to 30 x 6 Gbps Processor/FPGA boot flexibility 0 to 30 transceivers 200-MHz to 10-Gbps 7

8 System-Level Benefits of SoC FPGA Low High Increased system performance 4,000 DMIPS for under 1.8W Up to 1,600 GMACS, 300 GFLOPS DSP >125 Gbps processor to FPGA interconnect Cache coherent hardware accelerators Devices Before FPGA Memory Reduced power consumption Up to 30% power savings vs. 2-chip solution Devices CPU Memory Reduced board size Up to 55% form factor reduction As few as two power rails Reduced system costs Lower component cost Reduction in PCB complexity and cost Less routing with fewer layers Devices After FPGA + CPU Memory 8

9 SoC FPGA Device Portfolio Tailored to Address Diverse Application Requirements 9

10 SoC FPGA Key Features Key Features Process Processor Cache/co processors FPGA/Processor System Interface Memory controllers 28nm Low Power (28LP) process Dual-core ARM Cortex-A9MPCore processor L1, L2 Cache, NEON, DP FPU, ACP >100 Gbps bandwidth >125 Gbps bandwidth Up to 2 Hard memory controllers with ECC Up to 4 Hard memory controllers with ECC Memory bandwidth >51 Gbps >170 Gbps Logic Density 25, 40, 85,110KLE 350, 460KLE Transceivers Up to 5 Gbps Up to 10 Gbps Total Power Consumption 2W to 5W (Single 300 MHz commercial temp to dual 800 MHz industrial temp ) 10W to 15W (Single 300 MHz commercial temp to dual 800 MHz industrial temp ) 10

11 Technical Details 11

12 ARM Cortex-A9 MPCore Processor Dual-issue superscalar pipeline 2.5 MIPS per MHz Single- and double-precision floating-point unit (FPU) NEON media processing engine for media and signal processing acceleration Coherent L1 caches Memory coherency maintained between processors and FPGA 12

13 13

14 System Architecture Processor Dual-core ARM Cortex -A9 MPCore processor 4,000 MIPS (up to 800 MHz per core) NEON coprocessor with double-precision FPU 32-KB/32-KB L1 caches per core 512-KB shared L2 cache Multiport SDRAM controller Up to 533-MHz DDR3 and LPDDR2 Up to 400-MHz DDR2 Up to 200-MHz Mobile DDR Integrated ECC support High-bandwidth on-chip interfaces > 125-Gbps HPS-to-FPGA interface > 125-Gbps FPGA-to-SDRAM interface Cost- and power-optimized FPGA fabric Lowest power transceivers Up to 1,600 GMACS, 300 GFLOPS Up to 25Mb on-chip RAM More hard intellectual property (IP): PCIe and memory controllers Hard Processor System (HPS) ARM Cortex-A9 NEON / FPU L1 Cache QSPI Flash Control NAND Flash (1) (2) L2 Cache 64-KB RAM SD / SDIO/ MMC (1) Shared Multiport DDR SDRAM Controller (2) ARM Cortex-A9 NEON / FPU L1 Cache FPGA JTAG Debug / Trace (1) Timers (x11) HPS to FPGA USB OTG (x2) (1) GPIO SPI (x2) DMA (8 Channels) FPGA to HPS 28LP process 8-input ALMs Variable-precision DSP M10K memory and 640-bit MLABs fplls Ethernet (x2) (1) I 2 C (x2) CAN (x2) UART (x2) FPGA Configu ration Multiport Hard Multiport Multiport DDR DDR SDRAM DDR Hard 3-, 5-, 6-, SDRAM SDRAM Controller Controller (2) PCIe and 10-Gbps Controller Transceivers HPS I/Os FPGA General Purpose I/Os 14 Notes: (1) Integrated direct memory access (DMA) (2) Integrated ECC

15 Save Cost with an Efficient Core Architecture Adaptive LUT Full Adder Full Adder Reg Reg Reg Reg Enhanced ALM architecture Up to 30% increase in logic packing efficiency v 4-LUT 2x registers in each ALM for easier timing closure Variety of memory structures 10K blocks optimized for highest port count 640-bit MLABs for efficient data buffers Variable precision DSP block One block equals either : One 27x27 multiplication Two 18x19 multiplications Three 9x9 multiplications Up to 1,600 GMACS, 300 GFLOPS in Arria V SoC Up to 150 GMACS, 100 GFLOPS in Cyclone V SoC Efficient core lets you fit into a smaller device

16 Save BOM Cost Power distribution networks Cyclone V designs with only 2 power rails and only two switchers Arria V designs with only 3 power rails using 2 switchers and 1 linear Cyclone V Arria V Oscillators Synthesize ANY frequency with high precision Nine independent outputs from each fpll Reduce clock pins 16

17 Ultimate Configuration Flexibility Independent FPGA configuration and processor boot SOC Device Sources Configuration PCIe (1) QSPI /SPI Passive Serial Passive Parallel FPGA Config Controller (2) (3) CPU HPS QSPI /SPI MMC /SD NAND Flash Boot Sources Boot ROM Scratch RAM (1) Meets the PCIe 100 ms power-up-active time requirement (2) Supports AES encryption for design security (3) Supports partial re-configuration 17

18 Ultimate Configuration Flexibility Processor boots first, then configures the FPGA FPGA Config Controller SOC Device CPU HPS QSPI /SPI MMC /SD NAND Flash Boot Sources Configuratio on Sources Boot ROM Scratch RAM 18

19 Ultimate Configuration Flexibility FPGA configures first, CPU boots through FPGA logic (e.g. secure boot, custom backplane I/F) SOC Device Sources Configuration PCIe QSPI /SPI Passive Serial Passive Parallel FPGA Config Controller CPU HPS Boot Source User Specified I/F AXI Boot ROM Scratch RAM 19

20 HPS Multi-Port Hard Memory Controller Key Features DDR2, DDR3, LPDDR1, LPDDR2 support MHz ( Mbps) speed x8, x16 or x32 interfaces with optional ECC x8, x16 components with 2 chip selects High Performance HPS FPGA Fabric User Design Multiport Front End x8 / x16 / x32 Memory Controller Low latency full rate operation Deficit Round Robin arbitration with aging Per port & per burst priority & weighting High priority bypass for latency sensitive traffic High efficiency with command and data reordering PHY Interface PHY I/O Interface Low Cost Hard logic in HPS (HPS dedicated I/O) Up to six ports shared with FPGA fabric CMD/ADDR DQS ECC HPS Hard Memory Controller 20

21 FPGA Multi-Port Hard Memory Controller Similarities to HPS hard memory controller Same device support Same performance Same operation Differences No direct connection to HPS Up to 3 hard memory controllers in FPGA Available for user design PHY can be used by soft logic (bypass) I/O can be used by soft logic (bypass) FPGA Fabric User Design Multiport Front End x8 / x16 / x32 Memory Controller PHY Interface PHY I/O Interface CMD/ADDR DQS ECC FPGA Hard Memory Controller 21

22 PCIe Support Arria V - Gen2 x4, Gen1 x8 Cyclone V - Gen2 x2, Gen1 x4 Live link within 100 ms Root port and endpoint support Configuration via Protocol (CvP) using PCIe Hard PCIe block Reduces costs and power Provides built-in timing closure Shortens design time New: multifunction support PCS PMA Non-PCIe Applications User Design Core Fabric Transceivers PIPE 2.0 PHY/MAC Data Link Layer Transaction Layer PCIe Hard IP 22

23 First PCIe Multi-Function Support in FPGAs Simplifies sharing of PCIe link bandwidth between multiple peripherals Shortens development time by enabling use of standard software drivers Memory Controller Processor Root Complex Local Periph1 Local Periph 2 Each peripheral gets its own function with individual control status registers (CSR) and address space Reduces costs Integrates multiple single-function endpoints into single multifunction endpoint Supports up to eight functions Saves approximately 20 KLEs PCIe Root Port PCIe Link PCIe Endpoint CAN GbE ATA Bridge to PCI Multifunction USB SPI GPIO I2C Customize Industry-Standard Processors for Your Application 23

24 CPU & FPGA I/O A portion of device I/O are allocated to the HPS peripherals HPS Local I/O LVTTL 1.8V I/O Bank LVTTL 2.5V I/O Bank LVTTL 3.3V I/O Bank FPGA I/O HPS peripherals assigned to HPS I/O HPS peripherals can be routed to FPGA FPGA logic can be routed to HPS I/O SSTL V I/O Bank HPS Core (Processors & Peripherals) HPS / FP PGA Interface FPGA I/O HPS / FPGA Interface 24

25 Power 25

26 Process Choice for 28-nm Portfolio Power Power Cost TSMCs 28LP process and design 28-nm LP optimizations Speed Cost TSMCs 28HP process and 28-nm design HP optimizations Speed Lowest static and absolute power Lowest power transceivers Sufficient performance Maximum hardened IP High performance IO interfaces Highest bandwidth 28G transceivers at 200 mw Lowest power in high-performance systems 26

27 40% Power Reduction with Arria V FPGAs 1.2 l Power Arria II FPGA Power) Total (Normalized to A Up to 15% Up to 40% Up to 30% IO s Transceiver Core Dynamic Core Static 0 Arria II FPGAs Up to 70% Arria V FPGAs 27

28 Cyclone V FPGAs Reduce Total Power Up to 40% ~150K Gates 60 nm ~150K Gates 28 nm 28-nm LP benefits: Lower capacitance Multi-threshold transistors Variable channel lengths reduce leakage Reduced core voltage 28 Source: Excel based power estimation tools Q1 2011

29 Lowest Transceiver Power per Channel Gbps 6 Gbps 10 Gbps Power per Channel (m mw) Competing 28nm FPGAs Altera's 28-nm LP FPGAs Conditions: 85C Junction Typical Case Source: Xilinx XPE 13.1 ~30% the power at any data rate 29 Source: Excel based power estimation tools Q1 2011

30 Development Flow & Tools 30

31 System Development Flow Standard FPGA Flow Hardware Development Standard Software Flow Software Development Quartus II design software Qsys system integration tool Standard RTL flow Altera and partner IP Design Design ARM Development Studio 5 GNU toolchain OS/BSP: Linux, VxWorks Etc ModelSim, VCS, NCSim, etc. AMBA-AXI and Avalon bus functional models (BFMs) Simulate Simulate Virtual Target SignalTap II logic analyzer System Console Quartus II Programmer In-system Update Debug Release FPGA in the Loop Debug Release GNU, Lauterbach, DS5 and ARM ecosystem Flash Programmer

32 FPGA Industry s First Virtual Target Immediate device-specific software development Binary- and register-compatible Uses proven virtual prototyping technology Linux and VxWorks enabled, compatible with ARM tools FPGA-in-the-loop extension option Real I/O Connectivity on Host PC PC-Based Simulation of SoC FPGA Development Board Optional FPGA-in-the-loop Extension FPGA for user IP Ethernet DDR USB Flash Peripherals Hardened CPU Interface IP User IP User IP 32

33 FiL Over PCIe AIIGX Dev Board Laptop ExpressCard to PCIe Adapter Card/Cable 33

34 Leveraging the ARM Ecosystem Source: ARM 34

35 Leveraging the ARM Ecosystem Software Development Tools GNU ARM Design Studio 5 (DS-5) Lauterbach TRACE32 Wind River Workbench Available on the Virtual Target Today! OS Support Available on the Virtual Target Vendor Altera Wind River Systems Micrium OS/RTOS Linux VxWorks uc/os-ii All major OS support in planning. Contact Altera for availability. 35

36 Qsys: Altera s System Integration Tool High-Performance Interconnect Based on Network-on-a-Chip (NoC) Architecture Design Reuse Hierarchy Package as IP Design System Add to Library 36 Qsys Improves Your Productivity Where You Need It

37 Family plan 37

38 SoC FPGA Device Family Plan Family KLE Block Memory Bits (Mb) Var. Prec. Multiplier Blocks Max. FPGA User I/Os Max HPS I/Os Max. XCVRs (GP) Per - XCVR Max. Data Rate (Gbps) HPS Hard Memory Controller FPGA Hard Memory Controllers Hard PCIe Cyclone V SoC FPGA Arria V SoC FPGA / 16 6 / , / 16 6 / ea, Gen1 2 ea, Gen1 2 ea, Gen2 2 ea, Gen2 2 ea, Gen2 2 ea, Gen2 38

39 SoC FPGA Device Package Plan Non-XCVR Devices (FPGA User I/Os) XCVR Devices (FPGA User I/Os,XCVRs) Family KLE U484-WB 19x19 U672-WB 23x23 F896-WB 31x31 U672-WB 23x23 (IO,3G) F896-WB 31x31 (IO,3G/5G) F896-FC 31x31 (IO, 6G, 10G) F1152-FC 35x35 (IO, 6G, 10G) F1517-FC 40x40 (IO, 6G, 10G) ,6 Cyclone V SoC FPGA , ,6 288, ,6 288,9 Arria V SoC FPGA ,12,4 350,18,8 528,30, ,12,4 350,18,8 528,30,16 HPS I/O

40 Thank You

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Cyclone V Device Overview

Cyclone V Device Overview 2014.10.06 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

1. Overview for Cyclone V Device Family

1. Overview for Cyclone V Device Family 1. Overview for Cyclone V Device Family November 2011 CV-51001-1.1 CV-51001-1.1 Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements;

More information

Cyclone V Device Overview

Cyclone V Device Overview CV-500 Subscribe Feedback The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Achieving Lowest System Cost with Midrange 28-nm FPGAs

Achieving Lowest System Cost with Midrange 28-nm FPGAs Achieving Lowest System Cost with Midrange 28-nm FPGAs WP-01175-1.0 White Paper As electronic products increase in complexity and capability, the cost to develop and support them also increases from a

More information

Cover TBD intel USeR-CUStOMiZABle SOC FPGAS

Cover TBD intel USeR-CUStOMiZABle SOC FPGAS Cover TBD intel USER-CUSTOMIZABLE soc FPGAs Design the Way You Want What Is an Intel SoC FPGA? What if you could select the intellectual property (IP) and peripherals you need to quickly create a custom

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Intel Cyclone 10 GX Device Overview

Intel Cyclone 10 GX Device Overview Intel Cyclone 10 GX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Intel Cyclone 10 GX Devices... 3 Summary of Intel Cyclone 10 GX

More information

Intel Arria 10 Device Overview

Intel Arria 10 Device Overview A10-OVERVIEW 2017.09.20 Subscribe Send Feedback Contents Contents... 3 Key Advantages of Intel Arria 10 Devices... 4 Summary of Intel Arria 10 Features...4 Intel Arria 10 Device Variants and Packages...7

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

Cyclone 10 GX Advance Information Brief

Cyclone 10 GX Advance Information Brief Cyclone 10 GX Advance Information Brief AIB-01028 2017.02.13 Subscribe Send Feedback Contents Contents... 3 Key Advantages of Cyclone 10 GX Devices...3 Summary of Cyclone 10 GX Features... 4 Cyclone 10

More information

Arria 10 Device Overview

Arria 10 Device Overview AIB-01023 Subscribe Altera s Arria FPGAs and SoCs deliver optimal performance and power efficiency in the midrange. By using TSMC's 20-nm process technology on a high-performance architecture, Arria 10

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

FPGAs in 2032: Challenges & Opportunities in the Next 20 Years

FPGAs in 2032: Challenges & Opportunities in the Next 20 Years FPGAs in 2032: Challenges & Opportunities in the Next 20 Years Jean-Michel Vuillamy Field Applications Engineering Manager Altera South EMEA June 15, 2012 ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE,

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

SAM A5 ARM Cortex - A5 MPUs

SAM A5 ARM Cortex - A5 MPUs SAM A5 ARM Cortex - A5 MPUs Industry s lowest-power MPUs Ideal for secure industry, IoT, wearable applications Operating at 600MHz/945DMIPS with low power consumption, the SAMA5 ARM Cortex-A5 based MPU

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications XMC-ZU1 XMC Module Xilinx Zynq UltraScale+ MPSoC Overview PanaTeQ s XMC-ZU1 is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq UltraScale+ integrates a Quad-core

More information

MYC-C437X CPU Module

MYC-C437X CPU Module MYC-C437X CPU Module - Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors - 512MB DDR3 SDRAM, 4GB emmc Flash, 32KB EEPROM - Gigabit Ethernet PHY - Power Management IC - Two 0.8mm pitch 100-pin Board-to-Board

More information

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation STM32MP1 Microprocessor Continuing the STM32 Success Story Press Presentation What Happens when STM32 meets Linux? 2 + = Linux The STM32MP1 Microprocessor Happens! 3 Available NOW! Extending STM32 success

More information

MYD-JA5D2X Development Board

MYD-JA5D2X Development Board MYD-JA5D2X Development Board MYC-JA5D2X CPU Module as Controller Board 500MHz Atmel SAMA5D26/27 ARM Cortex-A5 Processor 256MB DDR3 SDRAM, 256MB Nand Flash, 4MB Data FLASH, 64KB EEPROM Serial ports, USB,

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

FPGA memory performance

FPGA memory performance FPGA memory performance Sensor to Image GmbH Lechtorstrasse 20 D 86956 Schongau Website: www.sensor-to-image.de Email: email@sensor-to-image.de Sensor to Image GmbH Company Founded 1989 and privately owned

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

MYD-Y6ULX Development Board

MYD-Y6ULX Development Board MYD-Y6ULX Development Board MYC-Y6ULX CPU Module as Controller Board 528Hz NXP i.mx 6UL/6ULL ARM Cortex-A7 Processors 1.0mm pitch 140-pin Stamp Hole Expansion Interface for Board-to-Board Connections 256MB

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

One Size Does Not Fit All

One Size Does Not Fit All One Size Does Not Fit All What do you do when system performance demands threaten to increase power consumption and cost? What happens if you ve got the power you need but not the performance? Wouldn t

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

Cyclone V SoC HPS Release Notes

Cyclone V SoC HPS Release Notes 2014.12.15 RN-CVHPS Subscribe These release notes cover v. 13.0 through v. 14.1 of the Altera Cyclone V system on a chip (SoC) hard processor system (HPS). These release notes describe the following topics:

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction white paper FPGA Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 1 s to Achieve Maximum Reduction devices leverage the innovative Intel HyperFlex FPGA architecture to achieve power savings

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

MYD-C437X-PRU Development Board

MYD-C437X-PRU Development Board MYD-C437X-PRU Development Board MYC-C437X CPU Module as Controller Board Two 0.8mm pitch 100-pin Connectors for Board-to-Board Connections Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors 512MB DDR3

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Stratix 10 GX/SX Device Overview

Stratix 10 GX/SX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 GX/SX Device Overview... 3 1.1 Intel Stratix 10 Family Variants...4 1.1.1 Available Options...6 1.2 Innovations

More information

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1 ARM Cortex-A9 ARM v7-a A programmer s perspective Part1 ARM: Advanced RISC Machine First appeared in 1985 as Acorn RISC Machine from Acorn Computers in Manchester England Limited success outcompeted by

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

Stratix 10 TX FPGA Advance Information Brief

Stratix 10 TX FPGA Advance Information Brief Stratix 10 TX FPGA Advance Information Brief AIB-01027 2016.10.31 Subscribe Send Feedback Contents Contents 1... 3 1.1 Stratix 10 TX Devices...4 1.2 Innovations in Stratix 10 TX Devices... 5 1.3 Stratix

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB DDR2

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Expect a Breakthrough Advantage in Next- Generation FPGAs

Expect a Breakthrough Advantage in Next- Generation FPGAs Expect a Breakthrough Advantage in Next- Generation FPGAs WP-01199-1.0 White Paper This white paper covers examples of why telecommunication bandwidth and the infrastructure behind it is driving FPGA capabilities,

More information

THE FIRST GENERATION OF EXTENSIBLE PROCESSING PLATFORMS: A NEW LEVEL OF PERFORMANCE, FLEXIBILITY AND SCALABILITY

THE FIRST GENERATION OF EXTENSIBLE PROCESSING PLATFORMS: A NEW LEVEL OF PERFORMANCE, FLEXIBILITY AND SCALABILITY PROCESSOR-CENTRIC EXTENSIBLE PLATFORMS FOR POWERFUL, SCALABLE, COST-EFFICIENT EMBEDDED DESIGNS THE FIRST GENERATION OF S: A NEW LEVEL OF PERFORMANCE, FLEXIBILITY AND SCALABILITY Embedded Systems Challenges

More information

Embedded System Design

Embedded System Design Embedded System Design Stephen A. Edwards Columbia University Spring 2015 Spot the Computer Cars These Days... Embedded Systems: Ubiquitous Computers iphone Laser Keyboard Nikon D300 Video Watch GPS Playstation

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Leveraging OpenSPARC. ESA Round Table 2006 on Next Generation Microprocessors for Space Applications EDD

Leveraging OpenSPARC. ESA Round Table 2006 on Next Generation Microprocessors for Space Applications EDD Leveraging OpenSPARC ESA Round Table 2006 on Next Generation Microprocessors for Space Applications G.Furano, L.Messina TEC- OpenSPARC T1 The T1 is a new-from-the-ground-up SPARC microprocessor implementation

More information

A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs

A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs 김혁 Embedded Processor Specialist, Xilinx 1 st - Oct, 2013 Market Challenges for Embedded Solutions Integrating

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Intel Stratix 10 TX Device Overview

Intel Stratix 10 TX Device Overview Intel Stratix 10 TX Device Overview Subscribe Latest document on the web: PDF HTML Contents Contents... 3 Intel Stratix 10 TX Devices... 4 Available Options... 6 Innovations in Intel Stratix 10 TX Devices...

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Male Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

Intel Stratix 10 MX (DRAM System-in-Package) Device Overview

Intel Stratix 10 MX (DRAM System-in-Package) Device Overview Intel Stratix 10 MX (DRAM System-in-Package) Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Stratix 10 MX (DRAM System-in-Package) Device Overview... 3

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information