Common Power Format. CPF 1.1 Pocket Guide

Size: px
Start display at page:

Download "Common Power Format. CPF 1.1 Pocket Guide"

Transcription

1 Common Power Format CPF 1.1 Pocket Guide

2 What is CPF? CPF (Common Power Format) is a design specification language that addresses the limitation in traditional design automation tool flows by capturing the designer's intent for power management and enabling the automation of advanced power-lowering design techniques. The Common Power Format enables all design, verification, implementation - and technology-related power objectives to be captured in a single file and allows the application of that data across the design flow, providing a consistent reference point for design development and production. CPF is available at Si2 s OpenEDA.si2.org web site at no cost for everyone to download and use.download instructions, along with an FAQ with important details on this process, as well as more information on the LPC is located at this link: Available supporting tools include a parser, the CPF Pocket Guide - an easy-to-use ready reference book, and the CPF Relational Analyzer - a powerful training and analysis tool (available to LPC members). In addition, training presentations in English, Mandarin, and Japanese are also available for the CPF user. What is the Low Power Coalition? The Low-Power Coalition (LPC) was formed in late 2006 to deliver enhanced capabilities in low-power Integrated Circuit (IC) design flows relating to specifications of low-power design intent, architectural tradeoffs, logical/physical implementation, design verification and testability. The intent of the LPC is to develop a unified system approach to low power chip design covering the entire design flow, incorporating all applicable standards as necessary. The LPC is also planning a roadmap of additional enhancements and optimizations for reusable IP blocks and upstream migration of low-power intent. Low Power Coalition Members Advanced Micro Devices ARM Atrenta Azuro, Inc Cadence Design Systems Calypto Design Systems ChipVision Design Systems Entasys Design Envis Corp. Freescale Global UniChip Corp. IBM Corporation Intel Corporation LSI NXP Semiconductors Sequence Design, Inc. Virage Logic The Low Power Coalition guides the evolution of the CPF Standard as well as other design flow-related standards and supporting material. All companies are welcome to join in this unique innovation in the EDA industry. Copyright 2007, 2008 by Si2, Inc. All rights reserved. No part of the material contained herein may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording, or otherwise, without the prior written permission of Si2, Inc.

3 LPC Structure Full LPC Membership Technical Technical Steering Steering Group Group 3 Chief Architects 3 Chief Architects Data Data Model & Model API API WG WG Modeling Modeling WG WG Format Format WG WG LPC: business/policy & standards approvals (AMD, Chair) Technical Steering Group (TSG): charters working groups, owns the low-power technology roadmap Includes 3 Chief Architects (Cadence, IBM, LSI) Active and completed working groups: Data Model and API WG map clear semantics and data relationships in CPF, add API interface support to CPF ModelingWG define requirements and attributes of power models needed at all levels of a power-aware design flow Format WG define priorities and detailed requirements for all revisions of CPF Format Comparison WG report on technical comparison of CPF and UPF (Done, results widely shared)

4 Who Supports CPF? Industry Leaders AMD Freescale Fujitsu IBM Intel ARC ARM Chipidea Denali LSI NEC NXP STARC Wipro IP Vendors MIPS Tensilica Virage Logic Foundry Reference Flows Common Platform SMIC TSMC UMC EDA Vendors ARC Apache Atrenta Azuro Cadence Calypto Chipvision Entasys Envis Sequence Design Services Alchip DNP Faraday Fujitsu Global Unichip MindTree NEC NSW SOCLE Toppan VeriSilicon CPF Momentum Over 100 CPF design flow engagements now active Completed tape-outs include: NEC (several), Fujitsu (several), Freescale (several), NXP (several), TSMC (several), Global UniChip (several), Faraday (several), AMD 10 EDA tool suppliers committed to CPF (with more coming) ARC, Apache, Atrenta, Azuro, Cadence, Calypto, Chipvision, Entasys Design, Envis, Sequence Design

5 CPF Information Model CPF 1.1 Information Model prefix string Rule Power Switch enable_condition_1 expr enable_condition_2 expr acknowledge_receiver expr gate_bias_net power_net peak_ir_drop_limit float average_ir_drop_limit float State Retention restore_edge expr save_edge expr restore_level expr save_level expr restore_precondition expr save_precondition expr target_type {flop latch both} Isolation isolation_condition expr no_condition isolation_target {from to} isolation_output { high low hold tristate} Level Shifter Inter-domain from power_domain_list to power_domain_list exclude pin_list location {from to} within_hierarchy instance Scope * path port_mapping domain_mapping Si2 all rights reserved parameter_mapping CPF version hierarchy separator array_naming_style regisiter_naming_style power_unit power_target: leakage assertion Mode Transition start_condition expr end_condition expr cycles [integer:]integer clock_pin pin power_target: dynamic latency[float:]float Assertion Control time_unit shut_off_condition instances where used type {reset suspend} from to Power Module Design -honor_boundary_port_domain parameters external power, ground secondary Macro Power Domain -default shutoff_condition expr -external_controlled_shutoff default_isolation_condition expr default_restore_edge expr default_save_edge expr default_restore_level expr default_save_level expr power_up_states {high low random} user_attributes string_list transition_slope [float:]float transition_latency {from_nom latency_list} transition_cycles {from_nom cycle_list clock_pin} secondary cells secondary boundary Instance Pin base power, ground, pmos_bias, nmos_bias Module boundary Virtual Ports Net power_related_net user_attributes string_list peak_ir_drop_limit float average_ir_drop_limit float Bias supply voltage {float voltage_range} external_shutoff_condition expr internal Power Ground Power Mode -activity_file_weight weight peak_ir_drop_limit domain_voltage_list average_ir_drop_limit domain_voltage_list leakage_power_limit float dynamic_power_limit float group@mode domain@ condition Nominal Condition voltage float ground_voltage float state {on off standby} pmos_bias_voltage float nmos_bias_voltage float Illegal Domain Configuration power mode control groups SDC Activity File Power Mode Control Group active states condition expr Legend Power Domain Library Set user_attributes Library Group Library (.lib) Cell optional many 1 & only 1 heirarchy named CPF object Generalization unnamed object CPF object RTL/netlist object Analysis View user_attributes group@view domain@ corner Operating Corner voltage float ground_voltage float pmos_bias_voltage float nmos_bias_voltage float process float temperature float This CPF information model shows how the constraints in CPF interact with a design.

6 The syntax and grammar of the CPF commands in this guide are described with Extended Backus Naur form (EBNF). Extendend Backus Naur Form A vertical bar separates a list of alternative items. Only one item from the list is used. set_power_unit { pw nw uw mw W } Examples set_power_unit pw set_power_unit nw set_power_unit uw set_power_unit mw set_power_unit W error set_power_unit MW since MW (Megawatt) is not an list item Square brackets [ ] indicate than an argument is optional. Everything enclosed between the two brackets is not used if that option is not used. set_time_unit [ns us ms] Curly braces } enclose a hierarchical lists of items create_power_domain -name power_domain { { -default [-instances instance_list] [-boundary_ports pin_list] } { -instances instance_list [-boundary_ports pin_list] } { -instances instance_list } } Curly braces { }+ indicate that one or more of the previous list can be used create_level_shifter_rule {-pins pin_list -from power_domain_list -to power_domain_list } + The data type of each argument is specified set_switching_activity { -all -pins pin_list -instances instance_list [-hierarchical]} { -probability float -toggle_rate float [-clock_pins pin_list] -toggle_percentage float } [-mode mode] set_time_unit ns set_time_unit us set_time_unit ms current_unit set_time_unit no argument returns the current time unit create_power_domain -name PD1 -default create_power_domain -name PD1 -default / -instances {inst_a inst_b} create_power_domain -name PD2 / -instances {inst_a inst_b} create_level_shifter_rule -name shiftup / -pins {adder[0] adder[1]} -from {PD1 PD2} / -pins {adder[15] adder[16]} -from {PD1 PD2} / -pins {adder[30] adder[31]} -from {PD1 PD2} set_switching_activity -pins {enable[1] enable[2]} \ -probability toggle_rate

7 CPF Command Syntax assert_illegal_domain_configurations { -domain_conditions domain_condition_list -group_modes group_modes_list -domain_conditions domain_condition_list -group_modes group_mode_list } create_analysis_view -mode mode [ -user_attributes string_list] { -domain_corners domain_corner_list -group_views group_view_list -domain_corners domain_corner_list -group_views group_view_list} create_assertion_control { -assertions assertion_list -domains power_domain_list } [ -exclude assertion_list] [ -shutoff_condition expression] [ -type {reset suspend} ] create_bias_net -net net [-driver pin] [-user_attributes string_list] [-peak_ir_drop_limit float] [-average_ir_drop_limit float] create_global_connection -net net -pins pin_list [-domain domain -instances instance_list] create_ground_nets -nets net_list [-voltage {float voltage_range}] [-external_shutoff_condition expression -internal] [-user_attributes string_list] [-peak_ir_drop_limit float] [-average_ir_drop_limit float] create_isolation_rule [-isolation_condition expression -no_condition] {-pins pin_list -from power_domain_list -to power_domain_list}+ [-exclude pin_list] [-isolation_target {from to}] [-isolation_output { high low hold tristate}] [-secondary_domain power_domain] Description and Examples Asserts that a particular configuration of domain conditions and/or power mode control group conditions is illegal. Creates an analysis view and associates a list of operating corners with a given mode. Inhibits evaluation of any selected assertion instance when its related power domain is powered down. Specifies or creates a bias net to be used as a power supply to either forward or backward bias a transistor. Specifies how to connect a global net to the specified pins. A global net can be a data net, bias net, power net or ground net. Specifies or creates a list of ground nets. Defines a rule for adding isolation cells and specify which net segments must be isolated.

8 create_level_shifter_rule {-pins pin_list -from power_domain_list -to power_domain_list}+ [-exclude pin_list] create_mode_transition -from power_mode -to power_mode -start_condition expression [-end_condition expression] [ -cycles [integer:]integer -clock_pin clock_pin -latency [float:]float ] create_nominal_condition -voltage float [-ground_voltage float] [-state {on off standby}] [-pmos_bias_voltage float] [-nmos_bias_voltage float] create_operating_corner -voltage float [-ground_voltage float] [-pmos_bias_voltage float] [-nmos_bias_voltage float] [-process float] [-temperature float] -library_set library_set create_power_domain -name power_domain [-instances instance_list] [-boundary_ports pin_list] [-default] [-shutoff_condition expression [-external_controlled_shutoff]] [-default_isolation_condition expression ] [-default_restore_edge expr -default_save_edge expr -default_restore_edge expr -default_save_edge expr -default_restore_level expr -default_save_level expr ] [-power_up_states {high low random} ] [-active_state_conditions active_state_condition_list ] [-base_domains domain_list] create_power_mode [-default] {-domain_conditions domain_condition_list -group_modes group_mode_list -domain_conditions domain_condition_list -group_modes group_mode_list } Defines a rule for adding level shifters. Describes how the transition between two power modes is controlled, and the time it takes for each power domain to complete the transition. Creates a nominal operating condition with the specified voltage Defines an operating corner and associate it with a library set. Creates a power domain and specifies the instances, boundary ports and pins that belong to this power domain. Defines a power mode. One and only one power mode can be the default power mode.

9 create_power_nets -nets net_list [-voltage {float voltage_range}] [-external_shutoff_condition expression -internal] [-user_attributes string_list] [-peak_ir_drop_limit float] [-average_ir_drop_limit float] create_power_switch_rule -domain power_domain {-external_power_net net -external_ground_net net} create_state_retention_rule { -domain power_domain -instances instance_list } [ -exclude instance_list ] [ -restore_edge expr -save_edge expr -restore_edge expr -save_edge expr -restore_level expr -save_level expr ] [ -restore_precondition expr] [ -save_precondition expr] [ -target_type {flop latch both}] [ -secondary_domain domain] define_library_set -name library_set -libraries list [-user_attributes string_list] end_design [ module ] end_macro_model [ macro_cell ] end_power_mode_control_group get_parameter parameter_name Specifies or creates a list of power nets. The power nets are created within the current scope. Specifies how a single on-chip power switch must connect the external power or ground nets to the primary power or ground nets of the specified power domain. Defines a rule for replacing either the selected registers in the instance list or all of the registers in the specified power domain with state retention registers. Creates a library set. Used with a set_design command, groups a number of CPF commands that apply to the current design or top design. Used with a set_macro_model command, groups a number of CPF commands that apply to the described macro cell. Used with a set_power_mode_control_group command, groups a set of CPF commands that define the power modes and power mode transitions that apply to the group defined by the preceding set_power_mode_control_group command. Returns the value of a predefined parameter in the current design.

10 identify_always_on_driver -pins pin_list [-no_propagation] identify_power_logic -type isolation {-instances instance_list -module name} identify_secondary_domain -secondary_domain domain { -instances instance_list -cells cell_list } [ -domain power_domain [ -from power_domain -to power_domain]] include file set_array_naming_style [string] set_cpf_version [value] set_design module [-ports port_list] [-honor_boundary_port_domain] [-parameters parameter_value_list] set_equivalent_control_pins -master pin -pins pin_list { -domain domain -rules rule_list } set_floating_ports port_list set_hierarchy_separator [character] Specifies a list of pins in the design that must be driven by always-on buffer or inverter instances. Identifies any generic logic used for isolation that is instantiated in RTL or the gate-level netlist. Identifies the secondary power domain for the selected instances with multiple power and ground pins. The primary power and ground nets of this secondary power domain are connected to the non-switchable power and ground pins of the identified instances. Includes a CPF file or a Tcl file within a CPF file. Specifies the format used to name the design objects in the netlist starting from multi-bit arrays in the RTL description. Specifies the version of the format. Specifies the name of the module to which the power information in the CPF file applies. Specifies a list of pins that are equivalent with a master control pin. The master control pin is part of the definition of a shutoff condition, isolation condition or state retention condition. The referred condition can contain only the master control pin in its expression. Specifies a list of ports of a macro cell that are not connected to any logic inside the macro cell. Specifies the hierarchy delimiter character used in the CPF file.

11 set_input_voltage_tolerance -ports port_list -bias [float:]float set_instance [instance [-design design -model macro_model] [-port_mapping port_mapping_list] [-domain_mapping domain_mapping_list] [-parameter_mapping parameter_mapping_list]] set_macro_model macro_cell set_power_mode_control_group -name group { -domains domain_list -groups group_list -domains domain_list -groups group_list} set_power_target { -leakage float -dynamic float -leakage float -dynamic float} set_power_unit [pw nw uw mw W] set_register_naming_style [string%s] set_switching_activity { -all -pins pin_list -instances instance_list [-hierarchical]} { -probability float -toggle_rate float [-clock_pins pin_list] -toggle_percentage float } [-mode mode] set_time_unit [ns us ms] set_wire_feedthrough_ports port_list Specifies a list of input ports of a macro cell that can be driven by a signal with a higher voltage than the power supply voltage defined by the associated power domain of these ports without the need for level shifters. Changes the scope to the specified instance or links a previously defined CPF model to the specified instance. Indicates the start of the CPF content of a custom IP. Groups a list of power domains and other power mode control groups. Specifies the targets for the average leakage and dynamic power of the current design across all the power modes. All power targets must be specified in the units specified by the set_power_unit command. Specifies the unit for all power values in the CPF file. Specifies the format used to name flip-flops and latches in the netlist starting from the register names in the RTL description. Specifies activity values (toggle rate and probability) for the specified pins. Specifies the unit for all time values in the CPF file. Specifies a list of input ports and output ports of a macro cell that are internally connected to each other by a physical wire only.

12 update_isolation_rules -names rule_list { -location {from to} -within_hierarchy instance -cells cell_list -prefix string -open_source_pins_only}+ update_level_shifter_rules -names rule_list { -location {from to} -within_hierarchy instance -cells cell_list -prefix string}+ update_nominal_condition -name condition -library_set library_set update_power_domain -name domain { -primary_power_net net -primary_ground_net net -equivalent_power_nets list_of_power_nets -equivalent_ground_nets list_of_ground_nets -pmos_bias_net net -nmos_bias_net net -user_attributes string_list -transition_slope [float:]float -transition_latency { from_nom latency_list} -transition_cycles { from_nom cycle_list clock_pin} }+ update_power_mode -name mode { -activity_file file -activity_file_weight weight -sdc_files sdc_file_list -setup_sdc_files sdc_file_list -hold_sdc_files sdc_file_list -peak_ir_drop_limit domain_voltage_list -average_ir_drop_limit domain_voltage_list -leakage_power_limit float -dynamic_power_limit float}+ update_power_switch_rule { -enable_condition_1 expression -enable_condition_2 expression -acknowledge_receiver_1 expression -acknowledge_receiver_2 expression -cells cell_list -gate_bias_net power_net -prefix string -peak_ir_drop_limit float -average_ir_drop_limit float }+ Appends the specified isolation rules with additional implementation information. At least one option besides -name, must be specified; several options may be updated together. Appends the specified level shifter rule with implementation information. Associates a library set with the specified operating condition. Specifies implementation aspects of the specified power domain. Specifies the constraints for the power mode. At least one option besides name must be specified; several options may be updated together Appends the specified rules for power switch logic with implementation information.

13 update_state_retention_rules -names rule_list { -cell_type string -cells cell_list -set_reset_control}+ Appends the specified rules for state retention logic with implementation information. Commands to configure the Cell Library define_always_on_cell -cells cell_list [-library_set library_set] [ {-power_switchable LEF_power_pin -ground_switchable LEF_ground_pin -power_switchable LEF_power_pin -ground_switchable LEF_ground_pin} -power LEF_power_pin -ground LEF_ground_pin ] Identifies the library cells in the.lib files with more than one set of power and ground pins that can remain powered on even when the power domain they are instantiated in is powered down. define_isolation_cell -cells cell_list [-library_set library_set] [-always_on_pins pin_list] [ {-power_switchable LEF_power_pin -ground_switchable LEF_ground_pin} -power LEF_power_pin -ground LEF_ground_pin ] [-valid_location { from to on off}] {-enable pin -no_enable {high low hold}} [-non_dedicated] Identifies the library cells in the.lib files that must be used as isolation cells.

14 define_level_shifter_cell -cells cell_list [-library_set library_set] [-always_on_pins pin_list] { -input_voltage_range {voltage voltage_range} -output_voltage_range {voltage voltage_range} -ground_input_voltage_range {voltage voltage_range} -ground_output_voltage_range {voltage voltage_range} -input_voltage_range {voltage voltage_range} -output_voltage_range {voltage voltage_range} -ground_input_voltage_range {voltage voltage_range} -ground_output_voltage_range {voltage voltage_range} } [-direction {up down bidir}] [-input_power_pin LEF_power_pin] [-output_power_pin LEF_power_pin] [-input_ground_pin LEF_ground_pin] [-output_ground_pin LEF_ground_pin] [-ground LEF_ground_pin] [-power LEF_power_pin] [-enable pin] [-valid_location {to from either}] define_open_source_input_pin -cells cell_list -pin pin [-library_set library_set] define_power_clamp_cell -cells cell_list -data pin -power pin [-ground pin_name] [-library_set library_set] Identifies the library cells in the.lib files that must be used as level shifter cells. Specifies a list of cells that contain open source input pins. Specifies a list of diode cells used for power clamp control.

15 define_power_switch_cell -cells cell_list [-library_set library_set] -stage_1_enable expression [-stage_1_output expression] [-stage_2_enable expression [-stage_2_output expression]] -type {footer header} [-enable_pin_bias [float:]float] [-gate_bias_pin LEF_power_pin] [-power_switchable LEF_power_pin -power LEF_power_pin -ground_switchable LEF_ground_pin -ground LEF_ground_pin ] [-stage_1_on_resistance float [-stage_2_on_resistance float]] [-stage_1_saturation_current float] [-stage_2_saturation_current float] [-leakage_current float ] define_related_power_pins -data_pins pin_list -cells cell_list [-library_set library_set ] {-power LEF_power_pin -ground LEF_ground_pin -power LEF_power_pin -ground LEF_ground_pin } define_state_retention_cell -cells cell_list [-library_set library_set] [-cell_type string] [-always_on_pins pin_list] [-clock_pin pin] {-restore_function expression -save_function expression -restore_function expression -save_function expression } [-restore_check expression] [-save_check expression] [-always_on_components component_list] [ {-power_switchable LEF_power_pin -ground_switchable LEF_ground_pin -power_switchable LEF_power_pin -ground_switchable LEF_ground_pin} -power LEF_power_pin -ground LEF_ground_pin ] Identifies the library cells in the.lib files that must be used as power switch cells. Specifies the relationship between the power pins and data pins for cells that have more than one set of power and ground pins. Identifies the library cells in the.lib files that must be used as state retention cells.

16 Example of CPF Constructs Example of CPF Constructs # set_design mod_b create_power_domain -name PDX default create_state_retention_rule -name RETB1 -domain PDX end_design mod_b # CPF File of Top Design # set_design top # Set up logic structure for all power domains # include IPB.cpf create_power_domain -name PD1 default create_power_domain -name PD2 -instances {inst_a} \ -shutoff_condition {!pm_inst.pse_enable[0]} -base_domains PD1 \ -default_restore_edge {!pm_inst.pge_enable[0]} create_power_domain -name PD3 -instances inst_c \ -shutoff_condition {!pm_inst.pse_enable[1]} -base_domains PD1 create_power_domain -name PD4 -instances inst_d \ -shutoff_condition {!pm_inst.pse_enable[2]} -base_domains PD1 # Define static behavior of all power domains and specify # timing constraints # set_instance inst_b -domain_mapping { PDX PD2 } create_nominal_condition -name high -voltage 1.2 create_nominal_condition -name medium -voltage 1.1 create_nominal_condition -name low -voltage 1.0 create_power_mode -name PM1 -domain_conditions \ {PD1@high PD2@medium PD3@high PD4@low} update_power_mode -name PM1 -sdc_files../scripts/cm1.sdc \ -activity_file../sim/top_1.tcf -activity_file_weight 1 create_power_mode -name PM2 -domain_conditions \ {PD1@high PD3@high PD4@low} update_power_mode -name PM2 -sdc_files../scripts/cm2.sdc create_power_mode -name PM3 -domain_conditions {PD1@high PD4@low} create_power_mode -name PM4 -domain_conditions {PD1@low} # Set up required isolation and state retention rules for all domains # create_state_retention_rule -name sr1 -domain PD3 \ -restore_edge {!pm_inst.pge_enable[1]} create_state_retention_rule -name sr2 -domain PD4 \ -restore_edge {!pm_inst.pge_enable[2]} -isolation_condition {pm_inst.ice_enable[0]} -isolation_output high create_isolation_rule -name ir2 -from PD3 \ -isolation_condition {pm_inst.ice_enable[1]} create_isolation_rule -name ir3 -from PD4 \ -isolation_condition {pm_inst.ice_enable[2]} create_level_shifter_rule -name lsr1 -to {PD1 PD3} end_design

Common Power Format. CPF Pocket Guide

Common Power Format. CPF Pocket Guide Common Power Format CPF Pocket Guide What is CPF? CPF (Common Power Format) is a new design specification language that addresses the limitation in traditional design automation tool flows by capturing

More information

New Advancements in CPF 2.0 and the Path to Interoperability

New Advancements in CPF 2.0 and the Path to Interoperability New Advancements in CPF 2.0 and the Path to Interoperability Qi Wang Chair of Format Working Group Vice Chair of Low Power Coalition October 2011 Innovation Through Collaboration Agenda 2011 Overview CPF

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

Power Format Comparison Report out

Power Format Comparison Report out Power Format Comparison Report out Gary Delp Ranen Fraer David Hui Herve Menager Nick English Dave Allen David Hathaway Gila Kamhi Oscar Siguenza Judith Richardson Dirk Siemer John Biggs Purpose and Agenda

More information

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Abhinav Nawal (Freescale) Gaurav Jain (Freescale) Joachim Geishauser (Freescale) Accellera Systems Initiative 1 SCOPE Introduction

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

I believe that this guide will be a fundamental reference for designers and will help the world in saving a substantial amount of energy!

I believe that this guide will be a fundamental reference for designers and will help the world in saving a substantial amount of energy! 1 Foreword Energy consumption is a major, if not the major, concern today. The world is facing phenomenal growth of demand for energy from the Far East coupled with the unabated and substantial appetite

More information

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Real-life low power verification pitfalls, and UPF 1801 for a CPF user Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Robert Meyer Medtronic, Inc. 8200 Coral Sea Street NE MS MVC61 Mounds View, MN 55112 robert.j.meyer@medtronic.com

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Abhinav Nawal, Freescale Semiconductors India Pvt Ltd, abhinav.nawal@freescale.com Gaurav Jain, Freescale Semiconductors India

More information

Virtual Component Identification Physical Tagging Standard

Virtual Component Identification Physical Tagging Standard VSI Alliance Virtual Component Identification Physical Tagging Standard (IPP 1 3.0) Intellectual Property Protection Development Working Group Released June 2000 Revision June 19, 2000 Draft revision April

More information

Si2 Member Report 2008

Si2 Member Report 2008 Si2 Member Report 2008 Innovation Through Collaboration Board of Directors 2008-2009 Term AMD Ward Vercruysse Director CAD/ AMD Fellow ARM John Goodenough Director, Design Technology Cadence Design Systems

More information

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Power Aware Libraries: Standardization and Requirements for Questa Power Aware Power Aware Libraries: Standardization and Requirements for Questa Power Aware by Progyna Khondkar, Mentor Graphics INTRODUCTION Multivoltage (MV) based power-ware (PA) design verification and implementation

More information

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Introduction Customer driven project Verification of Subsystem which includes:

More information

Compact Model Council

Compact Model Council Compact Model Council Keith Green (TI) Chair Peter Lee (Elpida) Vice Chair 1 History and Purpose The CMC was formed in 1996 as a collaboration of foundries, fabless companies, IDMs and EDA vendors Foundry

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Low-power Design with the New IEEE Standard

Low-power Design with the New IEEE Standard Low-power Design with the New IEEE 1801-2013 Standard Workshop #4: presented by members of the IEEE P1801 WG John Biggs Jeffrey Lee Erich Marschner Sushma Honnavara-Prasad Qi Wang Agenda Introduction John

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

EDA Past, Present & Future. Ernest S. Kuh Department of EECS University of California, Berkeley

EDA Past, Present & Future. Ernest S. Kuh Department of EECS University of California, Berkeley EDA Past, Present & Future Ernest S. Kuh Department of EECS University of California, Berkeley DEDICATED TO Donald O. Pederson A. Richard Newton EDA Pioneers 2 The Beginning Circuit Simulation Circuit

More information

Hardware Synthesis. References

Hardware Synthesis. References Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com 1 References 2 1 Chapter 1 Digital Design Using VHDL and PLDs 3 Some Definitions

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

MLR Institute of Technology

MLR Institute of Technology MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad 500 043 Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN

More information

ECE 331 Digital System Design

ECE 331 Digital System Design ECE 331 Digital System Design Tristate Buffers, Read-Only Memories and Programmable Logic Devices (Lecture #17) The slides included herein were taken from the materials accompanying Fundamentals of Logic

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. The evolution of smart phones and tablet has ever driven the

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

Low Power Methodology Manual For System-on-Chip Design

Low Power Methodology Manual For System-on-Chip Design Low Power Methodology Manual For System-on-Chip Design Michael Keating David Flynn Robert Aitken Alan Gibbons Kaijian Shi Low Power Methodology Manual For System-on-Chip Design Michael Keating Synopsys,

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Clockless IC Design using Handshake Technology. Ad Peeters

Clockless IC Design using Handshake Technology. Ad Peeters Clockless IC Design using Handshake Technology Ad Peeters Handshake Solutions Philips Electronics Philips Semiconductors Philips Corporate Technologies Philips Medical Systems Lighting,... Philips Research

More information

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Introduction This lab introduces the concept of modular design by guiding you through

More information

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs by Progyna Khondkar Mentor, A Siemens Business INTRODUCTION PA-Static verification, more popularly

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

CAT22C Bit Nonvolatile CMOS Static RAM

CAT22C Bit Nonvolatile CMOS Static RAM 256-Bit Nonvolatile CMOS Static RAM FEATURES Single 5V Supply Fast RAM Access Times: 200ns 300ns Infinite E 2 PROM to RAM Recall CMOS and TTL Compatible I/O Power Up/Down Protection 100,000 Program/Erase

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Retention based low power DV challenges in DDR Systems

Retention based low power DV challenges in DDR Systems Retention based low power DV challenges in DDR Systems Subhash Joshi, Qualcomm, Bangalore, India (scjoshi@qti.qulacomm.com) Sangaiyah, Pandithurai, Qualcomm, Bangalore, India (psangaiy@qti.qualcomm.com)

More information

Lesson 13: Other Tools in OrCAD Capture

Lesson 13: Other Tools in OrCAD Capture Lesson 13: Other Tools in OrCAD Capture Lesson Objectives After you complete this lesson you will be able to: Add inter-sheet signal references Create a cross reference report (Optional) Generate a Bill

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

Design of DMA Controller Using VHDL

Design of DMA Controller Using VHDL Design of DMA Controller Using VHDL Rashmi mishra 1, Rupal chauhan 2, Garima arora 3 1, 2 Department of Electronics & Communication BE (VII SEM) Takshshila Institute of Engineering & Technology, Jabalpur,

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

CAT28C K-Bit Parallel EEPROM

CAT28C K-Bit Parallel EEPROM 256K-Bit Parallel EEPROM HALOGENFREE LEAD TM FREE FEATURES Fast read access times: 120/150ns Low power CMOS dissipation: Active: 25 ma max Standby: 150 µa max Simple write operation: On-chip address and

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc 2/29/2016 Frederic Doucet, Qualcomm Atheros, Inc 2 What to Standardize Next Benefit of current standard: Provides

More information

CSEE 3827: Fundamentals of Computer Systems. Storage

CSEE 3827: Fundamentals of Computer Systems. Storage CSEE 387: Fundamentals of Computer Systems Storage The big picture General purpose processor (e.g., Power PC, Pentium, MIPS) Internet router (intrusion detection, pacet routing, etc.) WIreless transceiver

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

The 3S Proposal: A SPICE Superset Specification for Behavioral Modeling

The 3S Proposal: A SPICE Superset Specification for Behavioral Modeling The 3S Proposal: A SPICE Superset Specification for Behavioral Modeling Michael Mirmak Intel Corporation June 5, 2007 Legal Disclaimer THIS DOCUMENT AND RELATED MATERIALS AND INFORMATION ARE PROVIDED "AS

More information

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function

LC75808E, 75808W. 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Ordering number : ENN6370A CMOS IC LC75808E, 75808W 1/8 to 1/10 Duty LCD Display Drivers with Key Input Function Overview The LC75808E and LC75808W are 1/8 to 1/10 duty LCD display drivers that can directly

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

EE194-EE290C. 28 nm SoC for IoT

EE194-EE290C. 28 nm SoC for IoT EE194-EE290C 28 nm SoC for IoT CMOS VLSI Design by Neil H. Weste and David Money Harris Synopsys IC Compiler ImplementaJon User Guide Synopsys Timing Constraints and OpJmizaJon User Guide Tips This is

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

ASIC Products Application Note

ASIC Products Application Note Abstract This application note provides an overview of the application-specific integrated circuit (ASIC design process. Four major phases are discussed: design entry and analysis; technology optimization

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Renesas LIN Overview. White paper REU05B Introduction

Renesas LIN Overview. White paper REU05B Introduction White paper Introduction LIN is a communication and distributed processing bus system. It is characterized by low cost hardware and a relatively low data transmission speed and number of bus nodes. Only

More information

PAL22V10 Family, AmPAL22V10/A

PAL22V10 Family, AmPAL22V10/A FINAL COM L: -7//5 PAL22V Family, AmPAL22V/A 24-Pin TTL Versatile PAL Device Advanced Micro Devices DISTINCTIVE CHARACTERISTICS As fast as 7.5-ns propagation delay and 9 MHz fmax (external) Macrocells

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Advance Manual ECO by Gates On the Fly

Advance Manual ECO by Gates On the Fly Advance Manual ECO by Gates On the Fly Table of Contents Abstract... 1 Preparation... 1 GUI mode... 1 Configure the database... 2 Find the equivalent nets in GUI... 2 ECO in GUI mode... 5 ECO in script

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Introduction. Purpose. Intended Audience. Conventions. Close

Introduction. Purpose. Intended Audience. Conventions. Close Introduction Introduction Verilog-XL is a simulator that allows you to test the logic of a design. The process of logic simulation in Verilog-XL is as follows: 1. Describe the design to Verilog-XL. 2.

More information

HDL Compiler Directives 7

HDL Compiler Directives 7 7 HDL Compiler Directives 7 Directives are a special case of regular comments and are ignored by the Verilog HDL simulator HDL Compiler directives begin, like all other Verilog comments, with the characters

More information

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Chapter 9: Integration of Full ASIP and its FPGA Implementation Chapter 9: Integration of Full ASIP and its FPGA Implementation 9.1 Introduction A top-level module has been created for the ASIP in VHDL in which all the blocks have been instantiated at the Register

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler Ver. 1.0 November 2010 www.ntlab.com CONTENT 1. DESCRIPTION OF THE COMPILER... 3 1.1 GENERAL CHARACTERISTICS

More information

RTL Synthesis using Design Compiler. Dr Basel Halak

RTL Synthesis using Design Compiler. Dr Basel Halak RTL Synthesis using Design Compiler Dr Basel Halak Learning Outcomes: After completing this unit, you should be able to: 1. Set up the DC RTL Synthesis Software and run synthesis tasks 2. Synthesize a

More information

Digital Logic Design Exercises. Assignment 1

Digital Logic Design Exercises. Assignment 1 Assignment 1 For Exercises 1-5, match the following numbers with their definition A Number Natural number C Integer number D Negative number E Rational number 1 A unit of an abstract mathematical system

More information

Am27C Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP

Am27C Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP FINAL Am27C020 2 Megabit (256 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS Fast access time Speed options as fast as 55 ns Low power consumption 100 µa maximum CMOS standby current JEDEC-approved

More information

Am27C Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP

Am27C Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM V CC V SS V PP FINAL Am27C64 64 Kilobit (8 K x 8-Bit) CMOS EPROM DISTINCTIVE CHARACTERISTICS Fast access time Speed options as fast as 45 ns Low power consumption 20 µa typical CMOS standby current JEDEC-approved pinout

More information