New Advancements in CPF 2.0 and the Path to Interoperability

Size: px
Start display at page:

Download "New Advancements in CPF 2.0 and the Path to Interoperability"

Transcription

1 New Advancements in CPF 2.0 and the Path to Interoperability Qi Wang Chair of Format Working Group Vice Chair of Low Power Coalition October 2011 Innovation Through Collaboration

2 Agenda 2011 Overview CPF 2.0 Improvements Interoperability with IEEE 1801 Future Work Innovation Through Collaboration

3 Format WG Overview Active Members Nick English Si2 Susan Carver Si2 Anmol Mathur Calypto Prasad Subbarao LSI Steve Urish IBM Qi Wang Cadence Jon Worthington - Magma Weekly Meeting 9:00am 10:00 am PDT Every Friday Contact lpc_format@si2.org Innovation Through Collaboration

4 Format WG 2011 Highlights CPF 2.0 Released in March 2011 CPF 2.0 Tutorial Delivered the Webinar in May 2011 Open Low Power Methodology Contributed to IEEE in June 2011 Paper on Power Formats Submitted to IEEE Design & Test Journal in August 2011 Interoperability Guide Update Approved by LPC in October Innovation Through Collaboration

5 CPF 2.0 Highlights Macro modeling improvements IO PADs: improve usability Low Power Mixed-signal IP Voltage Regulator, Analog ports New low power IPs Power and ground level shifter, By-pass level shifter Clamp cell for isolation Isolation cell without primary pins multi-stage level shifters Simulation enhancements Misc. New command to control low power simulation behavior initial statement re-evaluation after power up level shifter requirement threshold specify inverted equivalent control pins specify different corner libraries for power estimation global cell (to replace always-on cell)

6 Voltage Regulator Example 2.5V input HAVDD C1 C2 1.1V input AVDD AVSS VBB variable output 1.1V, 1.2V, 1.3V simulation model may contain the output voltage behavior description but the CPF may not specify how the output voltage is controlled set_macro_mode regulator create_power_domain name PDVIN update_power_domain name PDVIN primary_power_net HAVDD primary_ground_net AVSS create_power_domain name PDVOUT -default base_domains {PDVIN} power_source update_power_domain name PDVOUT pmos_bias_net VBB create_power_domain name PDREF boundary_ports { C1 C2} update_power_domain name PDREF primary_power_net AVDD primary_ground_net AVSS create_nominal_condition name LDO_range voltage 1.1 pmos_bias_voltage 1.1:1.3 create_nominal_condition name HVDD voltage 2.45:2.55 voltage 0.0 create_nominal_condition name REF voltage 1.1 ground_voltage 0.0 create_power_mode name PM default domain_conditions \ { PDREF@REF PDVIN@HVDD PDVOUT@LDO_range } set_power_source_reference_pin AVDD domain PDVOUT voltage_range 1.1:1.1 end_macro_model regulator

7 Mixed-Signal IP Special requirements for analog ports of a mixed signal IP Must be connected to the analog ports of other IPs Does not require (digital) isolation and level shifter inserted Extend to macro model by introducing the new command set_analog_ports Semantics: The specified analog ports must be connected to ports that were declared as analog ports in either a macro or pad. Analog ports can be associated with a power domain of a macro. The implementation tools should not consider nets where the driver or receiver is an analog port for isolation or level shifter insertion. The verification tools should report an error if any isolation or level shifter logic is found on connections between ports specified with the set_analog_ports command.

8 New Low Power IPs By-pass level shifter A level shifter can be switched between level shifting path and normal buffer path define_level_shifter_cell -cells cell_list [ -bypass_enable expression ] create_level_shifter_rule [ -bypass_condition expression ] Specify the input and output PG connection Useful if the level shifter has fanouts in different domains but all have the same voltage or the level shifter is put in 3 rd domain so need a different input PG connection from the default create_level_shifter_rule [ -input_domain domain] [-output_domain domain]

9 New Low Power IPs Clamp Cell A simple NMOS/PMOS type of transistor to clamp a signal to 0/1 define_isolation_cell -cells cell_list [-library_set library_set] [-always_on_pins pin_list] [-power_switchable LEF_power_pin] [-ground_switchable LEF_ground_pin] [-power LEF_power_pin] [-ground LEF_ground_pin] [-valid_location { from to on off any}] { -enable pin [-clamp {high low}] -no_enable {high low hold} } [-non_dedicated] create_isolation_rule -name string [-isolation_condition expression -no_condition] {-pins pin_list -from power_domain_list -to power_domain_list}... [-exclude pin_list] [-isolation_target {from to}] [-isolation_output { low high hold tristate clamp_high clamp_low} [-secondary_domain power_domain] off on

10 New Verification Features Added Capability to Specify Simulator Action when Power Domain is Switched off or Restored To allow users to specify the immediate action to be taken when the power is switched off in power domains or restored to power domains New command set_sim_control Added Capability to Specify a CPF Model for a Testbench New option -testbench added to the set_design command. Added Control over Signal Values in a Power Off Domain Mainly targeted for emulation To control the signal values of elements in a power domain when this domain is being switched off New option -power_down_states option was added to the create_power_domain command

11 set_sim_control set_sim_control [-targets target_list [-exclude target_list]] {-action power_up_replay [-controlling_domain domain ] -action disable_corruption -type { real wreal integer reg module instance} -action {disable_isolation disable_retention} } [-domains domain_list -instances instance_list] [-modules module_list -lib_cells lib_cell_list] to disable the default corruption semantics on selected RTL objects to control the initial statement replay at power up to disable default inferencing of isolation and state retention in RTL and the corresponding simulation semantics

12 Status Review Path to Interoperability with IEEE In 2010, WG released the Interoperability Guide V1.0 Focusing on existing standard The proactive push by LPC for better interoperability New CPF 2.0 enhancements targeted for improved interoperability OpenLPM contribution to IEEE Interoperability Guide V2.0 Innovation Through Collaboration

13 Power domain CPF 2.0 New Features Targeted for Better Interoperability with IEEE 1801 Support pg_type Power mode more flexible mode specification functional model definition (in addition to power modes) Hierarchical flow Rules allow virtual output/inout ports forced isolation and shifter insertion state retention rule enhancements Simulation Better documentation of simulation semantics Flexibility to enable/disable power aware simulation semantics Innovation Through Collaboration

14 New Option Example Interoperability Driven Extensions create_isolation_rule... [-force] create_level_shifter_rule... [-force] Semantics When -force is specified, isolation or level shifter logic shall be inserted without checking the situations on CPF 1.1 LRM page 72 and 75. Implementation tools should be able to perform optimization to avoid redundant isolation or level shifter logic to be inserted. Innovation Through Collaboration

15 What is OpenLPM? A converged power methodology to facilitate complete interoperability between Si2 CPF and IEEE 1801 Emphasize 1801 supply set-based over UPF 1.0 P/G net-based methodology Deprecate old and incompatible UPF 1.0 constructs/methodologies Extend 1801 to include commonly used CPF features Hierarchical constructs: power design & macro model Advanced power domain concepts: disjoint domain, domain of pins Misc: Equivalent control pins, technology CPF

16 Industry Support of OpenLPM At Qualcomm, we have been using CPF and found that formal hierarchical design flow support, with both bottom-up and top-down capability, are critical to enable complex advanced low power designs, says Dr. Karim Arabi, Senior Director, Engineering, at Qualcomm. We appreciate Si2 for facilitating a converged power intent methodology by contributing these key aspects of CPF to It will enable an equivalent and consistent methodology in the 1801 format. As evidenced by the success of our OMAP applications processor platform, we believe that low power technology is critical to enabling the mobile market. As a result, we ve always advocated for a single industry standard for low-power design and were one of the originators of the unified power format (UPF) now IEEE We support the contribution of the unique CPF constructs that will facilitate the evolution of IEEE 1801 to improve interoperability and pave the way for future format convergence. Texas Instruments: David Peterman, Manager, EDA strategy, Wireless Business Unit

17 Interoperability Guide V2.0 Approved by LPC in October, 2011 Working with IEEE to obtain the copyright license before publication Reduced non-interoperable constructs from 50 to 36

18 Future Work Participate in IEEE 1801 WG to drive the interoperability Next CPF release (CPF 2.1) New features requested by LPC members Potential extensions to enable system level power intent specification Additional features to further improve the interoperability

Common Power Format. CPF Pocket Guide

Common Power Format. CPF Pocket Guide Common Power Format CPF Pocket Guide What is CPF? CPF (Common Power Format) is a new design specification language that addresses the limitation in traditional design automation tool flows by capturing

More information

Common Power Format. CPF 1.1 Pocket Guide

Common Power Format. CPF 1.1 Pocket Guide Common Power Format CPF 1.1 Pocket Guide What is CPF? CPF (Common Power Format) is a design specification language that addresses the limitation in traditional design automation tool flows by capturing

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Real-life low power verification pitfalls, and UPF 1801 for a CPF user Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

More information

Power Format Comparison Report out

Power Format Comparison Report out Power Format Comparison Report out Gary Delp Ranen Fraer David Hui Herve Menager Nick English Dave Allen David Hathaway Gila Kamhi Oscar Siguenza Judith Richardson Dirk Siemer John Biggs Purpose and Agenda

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

PA GLS: The Power Aware Gate-level Simulation

PA GLS: The Power Aware Gate-level Simulation PA GLS: The Power Aware Gate-level Simulation by Progyna Khondkar Mentor, A Siemens Business In post-synthesis, gate-level netlist (GL-netlist), power aware (PA) simulation, the fundamental focus is to

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! Abhinav Nawal (Freescale) Gaurav Jain (Freescale) Joachim Geishauser (Freescale) Accellera Systems Initiative 1 SCOPE Introduction

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Introduction Customer driven project Verification of Subsystem which includes:

More information

Challenges with Power Aware Simulation and Verification Methodologies

Challenges with Power Aware Simulation and Verification Methodologies Challenges with Power Aware Simulation and Verification Methodologies Divyeshkumar Vora Staff Design Engineer Accellera Systems Initiative 1 Agenda Introduction Power-aware (PA) simulation overview Integrated

More information

Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines

Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines akshmanan Balasubramanian, Bharath Kumar Poluri, Texas Instruments Shoeb Siddiqui, IITM Vijay

More information

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs by Progyna Khondkar Mentor, A Siemens Business INTRODUCTION PA-Static verification, more popularly

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Power Aware Models: Overcoming barriers in Power Aware Simulation

Power Aware Models: Overcoming barriers in Power Aware Simulation Power Aware Models: Overcoming barriers in Power Aware Simulation Mohit Jain, STMicroelectronics (mohit-jain.crd@st.com) Amit Singh, STMicroelectronics (amit-ftm.singh@st.com) J.S.S.S.Bharath, STMicroelectronics

More information

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Power Aware Libraries: Standardization and Requirements for Questa Power Aware Power Aware Libraries: Standardization and Requirements for Questa Power Aware by Progyna Khondkar, Mentor Graphics INTRODUCTION Multivoltage (MV) based power-ware (PA) design verification and implementation

More information

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL Durgesh Prasad, Mentor Graphics (durgesh_prasad@mentor.com) Jitesh Bansal, Mentor Graphics (jitesh_bansal@mentor.com) Abstract: Power Aware verification

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

P1547.X Standard Title, Scope and Purpose

P1547.X Standard Title, Scope and Purpose IEEE SCC21 1547 TM Series Standards Development P1547.X Working Group Meeting Date Date, 200X; City, State P1547.X Standard Title, Scope and Purpose Chairperson: Vice Chair: Secretary: 1 1547 Series of

More information

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Low-Power Verification Methodology using UPF Query functions and Bind checkers Low-Power Verification Methodology using UPF Query functions and Bind checkers Madhur Bhargava, Mentor Graphics, Noida, India (madhur_bhargava@mentor.com) Durgesh Prasad, Mentor Graphics, Noida, India

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd. The evolution of smart phones and tablet has ever driven the

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Portable Stimulus Working Group

Portable Stimulus Working Group Portable Stimulus Working Group Authored by the PSWG Presented by Tom Fitzpatrick Portable Stimulus Working Group Vice Chair Portable Stimulus Working Group (PSWG) Our goals - Enable value and automation

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Stand: File: gengler_java_e. Java Community Process: Not perfect, but operating very successfully

Stand: File: gengler_java_e. Java Community Process: Not perfect, but operating very successfully Stand: 14.04.03 File: gengler_java_e Java Community Process: Not perfect, but operating very successfully While Sun Microsystems was the original sole driving force behind Java at one time, the company

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Utility Interconnection. Highlights of the New Fast Track Process Proposed by FERC and Changes to IEEE 1547

Utility Interconnection. Highlights of the New Fast Track Process Proposed by FERC and Changes to IEEE 1547 Utility Interconnection Highlights of the New Fast Track Process Proposed by FERC and Changes to IEEE 1547 1 4/16/2013 Agenda Review of Status of Distributed Energy Resources Standards Changes Review of

More information

Texas Instruments TMS320F2812GHHA DSP Embedded Flash Macro Partial Circuit Analysis

Texas Instruments TMS320F2812GHHA DSP Embedded Flash Macro Partial Circuit Analysis October 17, 2005 Texas Instruments TMS320F2812GHHA DSP Embedded Flash Macro Partial Circuit Analysis Table of Contents Introduction... Page 1 List of Figures... Page 5 Device Summary Sheet... Page 11 Flash

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

MEETING OF INTELLECTUAL PROPERTY OFFICES (IPOs) ON ICT STRATEGIES AND ARTIFICIAL INTELLIGENCE (AI) FOR IP ADMINISTRATION

MEETING OF INTELLECTUAL PROPERTY OFFICES (IPOs) ON ICT STRATEGIES AND ARTIFICIAL INTELLIGENCE (AI) FOR IP ADMINISTRATION E WIPO/IP/ITAI/GE/18/5 ORIGINAL: ENGLISH DATE: MAY 25, 2018 MEETING OF INTELLECTUAL PROPERTY OFFICES (IPOs) ON ICT STRATEGIES AND ARTIFICIAL INTELLIGENCE (AI) FOR IP ADMINISTRATION Geneva, May 23 to May

More information

IBIS Quality Review. IBIS Summit Meeting Design Automation Conference, San Francisco, California, July 28, 2009

IBIS Quality Review. IBIS Summit Meeting Design Automation Conference, San Francisco, California, July 28, 2009 IBIS Summit Meeting Design Automation Conference, San Francisco, California, July 28, 2009 IBIS Quality Review A status review of the IBIS Quality specification Mike LaBonte, Cisco Systems (Presented by

More information

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF Failing to Fail: Achieving Success in Advanced Low Power Design using UPF 1 Rick Koster, 2 John Redmond, and 3 Shreedhar Ramachandra 1 Mentor Graphics Corporation 2 Broadcom Corporation 3 Synopsys Inc.

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM Robert Meyer Medtronic, Inc. 8200 Coral Sea Street NE MS MVC61 Mounds View, MN 55112 robert.j.meyer@medtronic.com

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Timing in Cyber Physical Systems. Marc Weiss, Ph.D. NIST, Time and Frequency Division WSTS 2015

Timing in Cyber Physical Systems. Marc Weiss, Ph.D. NIST, Time and Frequency Division WSTS 2015 Timing in Cyber Physical Systems Marc Weiss, Ph.D. mweiss@nist.gov NIST, Time and Frequency Division WSTS 2015 Timing in Cyber Physical Systems: Outline The term Timing used here as a general term: frequency,

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation by Progyna Khondkar, Mentor Graphics INTRODUCTION The Questa Power Aware (PA) dynamic simulator (PA-SIM) provides a wide range of automated

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Terry Blanchard Hewlett-Packard Company terry.blanchard@hp.com

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

I believe that this guide will be a fundamental reference for designers and will help the world in saving a substantial amount of energy!

I believe that this guide will be a fundamental reference for designers and will help the world in saving a substantial amount of energy! 1 Foreword Energy consumption is a major, if not the major, concern today. The world is facing phenomenal growth of demand for energy from the Far East coupled with the unabated and substantial appetite

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Chip Core. Final Assembly. Core Sizes. Connecting Core to Pads. Core. Pad Ring The connection to the outside world

Chip Core. Final Assembly. Core Sizes. Connecting Core to Pads. Core. Pad Ring The connection to the outside world Final Assembly Your final project chip consists of a core and a pad ring Core is the guts Pad ring (or pad frame) connects the guts to the outside world It s critical to do a functional simulation of your

More information

SysML Past, Present, and Future. J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd

SysML Past, Present, and Future. J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd SysML Past, Present, and Future J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd A Specification Produced by the OMG Process SysML 1.0 SysML 1.1 Etc. RFI optional Issued by Task Forces RFI responses

More information

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Multi-Domain Verification: When Clock, Power and Reset Domains Collide Multi-Domain Verification: When Clock, Power and Reset Domains Collide Ping Yeung, Erich Marschner Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Open Verification Methodology (OVM)

Open Verification Methodology (OVM) Open Verification Methodology (OVM) Built on the success of the Advanced Verification Methodology (AVM) from Mentor Graphics and the Universal Reuse Methodology (URM) from Cadence, the OVM brings the combined

More information

Lessons learnt from building the International Virtual Observatory Alliance. Françoise Genova

Lessons learnt from building the International Virtual Observatory Alliance. Françoise Genova Lessons learnt from building the International Virtual Observatory Alliance Françoise Genova The IVOA Formed in June 2002 with a mission to "facilitate the international coordination and collaboration

More information

Using Excel with Dynamics GP

Using Excel with Dynamics GP Using Excel with Dynamics GP March 22, 2018 TO RECEIVE CPE CREDIT Participate in entire webinar Answer attendance checks & polls when they are provided If you are viewing this webinar in a group, complete

More information

Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard

Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard Joe Bugajski Co-Chair, OMG Financial Domain Task Force Objectives of Presentation Overview of OMG MDMI Standard Potential

More information

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! Abhinav Nawal, Freescale Semiconductors India Pvt Ltd, abhinav.nawal@freescale.com Gaurav Jain, Freescale Semiconductors India

More information

High Level Power Modeling

High Level Power Modeling High Level Power Modeling Jerry Frenkil (Sequence Design) David Hathaway (IBM) Nagu Dhanwada (IBM) Si2 LPC Modeling Working Group Interoperability Standards Collaborative Technology Innovation Through

More information

BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS

BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPS Prabal K Bhattacharya Cadence Design Systems 2655 Seely Avenue, San Jose, USA 1-408-894-2508 prabal@cadence.com Swapnajit Chakraborti Cadence Design

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Efficient methods for analog mixed signal verification

Efficient methods for analog mixed signal verification Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines Lakshmanan Balasubramanian, Bharath Kumar Poluri, Texas Instruments (India) Pvt. Ltd., Bangalore,

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI SystemC Community Update ISCUG May 9, 2008 Girish Nanappa, OSCI OSCI Membership Corporate Members Associate Members 36 member companies, including 8 new since DATE 2007: CISC, CoFluent, ITRI, UPMC, STARC,

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Refining Successive Refinement Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics Agenda Successive refinement flow Overview Successive refinement Challenges in Complex

More information

Technical Advisory Board (TAB) Terms of Reference

Technical Advisory Board (TAB) Terms of Reference Technical Advisory Board (TAB) Terms of Reference ACS Technical Advisory Board Terms of Reference V1.1 27 May 2017 Page 1 ACS Technical Advisory Board Terms of Reference V1.1 27 May 2017 Page 1 CONTENTS

More information

Hibernate. XMC microcontrollers September 2016

Hibernate. XMC microcontrollers September 2016 Hibernate XMC microcontrollers September 01 Agenda 1 Key feature: real time clock and retention memory Key feature: low power analog comparator Key feature: flexible wake-up configuration Application examples

More information

Building Energy-Efficient ICs from the Ground Up

Building Energy-Efficient ICs from the Ground Up Building Energy-Efficient ICs from the Ground Up Considering low-power techniques throughout the development process Power consumption has moved to the forefront of digital IC development as component

More information

University of Texas Arlington Data Governance Program Charter

University of Texas Arlington Data Governance Program Charter University of Texas Arlington Data Governance Program Charter Document Version: 1.0 Version/Published Date: 11/2016 Table of Contents 1 INTRODUCTION... 3 1.1 PURPOSE OF THIS DOCUMENT... 3 1.2 SCOPE...

More information

Constraint Driven I/O Planning and Placement for Chip-package Co-design

Constraint Driven I/O Planning and Placement for Chip-package Co-design Constraint Driven I/O Planning and Placement for Chip-package Co-design Jinjun Xiong, Yiuchung Wong, Egino Sarto, Lei He University of California, Los Angeles Rio Design Automation, Inc. Agenda Motivation

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

IEC 61850: From Paper to Operation

IEC 61850: From Paper to Operation IEC 61850: From Paper to Operation Dr. Alexander Apostolov AREVA T&D Automation XVIII SNPTEE Curitiba, Brazil, 19 October 2005 Introduction IEC 61850 is now an approved international standard It allows

More information

Visitor Program. Detroit, MI. November 5, 2010

Visitor Program. Detroit, MI. November 5, 2010 Opening Slide ICE Student t and Exchange Visitor Program SEVP Developments NAFSA Region V Conference Detroit, MI November 5, 2010 ICE SEVP is Keeping Busy! ICE Working with DMVs Petition s Teleconferences

More information

IEEE NEA Agenda and General Information. John D Ambrosia, Futurewei, a subsidiary of Huawei IEEE Jan 2018 Interim Geneva, CH

IEEE NEA Agenda and General Information. John D Ambrosia, Futurewei, a subsidiary of Huawei IEEE Jan 2018 Interim Geneva, CH IEEE 802.3 NEA Agenda and General Information John D Ambrosia, Futurewei, a subsidiary of Huawei IEEE 802.3 Jan 2018 Interim Geneva, CH Agenda Wednesday 6:00 pm to 7:30pm a) Accumulated switch latency

More information

Document Number: HITSP 08 N 378 Date: December 17, 2008 Report from the HITSP Education, Communication and Outreach (HITSP-ECO) Committee

Document Number: HITSP 08 N 378 Date: December 17, 2008 Report from the HITSP Education, Communication and Outreach (HITSP-ECO) Committee 0 Document Number: HITSP 08 N 378 Date: December 17, 2008 Report from the HITSP Education, Communication and Outreach (HITSP-ECO) Committee Co-Chairs: Walter G. Suarez, MD, Institute for HIPAA/HIT Education

More information

CIP Standards Update. SANS Process Control & SCADA Security Summit March 29, Michael Assante Patrick C Miller

CIP Standards Update. SANS Process Control & SCADA Security Summit March 29, Michael Assante Patrick C Miller CIP Standards Update SANS Process Control & SCADA Security Summit March 29, 2010 Michael Assante Patrick C Miller Background FERC s Cyber Security Order 706 directed extensive modifications of CIP-002

More information

DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI

DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI Page 1 Page 2 vhdl for digital design vahid solution bing vhdl for digital design pdf vhdl for digital design vahid solution

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Oracle Zero Data Loss Recovery Appliance (ZDLRA)

Oracle Zero Data Loss Recovery Appliance (ZDLRA) Oracle Zero Data Loss Recovery Appliance (ZDLRA) Overview Attila Mester Principal Sales Consultant Data Protection Copyright 2015, Oracle and/or its affiliates. All rights reserved. Safe Harbor Statement

More information

ELECTRIC POWER SYSTEM

ELECTRIC POWER SYSTEM FUNDAMENTAL CHANGES TO THE ELECTRIC POWER SYSTEM POST-2018 BY MARK SIIRA, ComRent International This article gives a glimpse of some significant changes that will occur in electric power system testing

More information