FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France

Size: px
Start display at page:

Download "FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France"

Transcription

1 IP/SOC 2005 Session: IP Design FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France Abstract: The 10 X increase in complexity for the future 4G telecommunication terminals is a major challenge for system designers. SoC solutions seem the only answer to cope with the performance requirements, but the usual design practices lead to huge difficulties, especially for the implementation of the control schemes. We describe our SoC implementation of a baseband subsystem for a high performance 4G terminal. Our architecture, called FAUST, is based on distributed synchronization and asynchronous communication. We highlight how we have actually implemented these two key principles in our design. We detail their impact in terms of complexity and the new constraints it introduces to the synchronization schemes. Finally, we illustrate the new control scheme with the timing diagram of an actual reception sequence. T I. INTRODUCTION he increase in the complexity of future 4G mobile terminals is a major challenge for system designers. In particular, we expect a factor of 10 for the intrinsic complexity of new baseband modems. IP based design is still the solution, but the complexity of data synchronization and control imposes to re-consider usual design practices. We have implemented an architecture based on the aggregation of self-timed cooperating functional s. Data exchanges follow a distributed protocol and circulate on a high throughput meshed network. As a result, some of the intrinsic complexity is moved out from the individual functional s. On the other hand, the system behaves in a less deterministic way. We focus here on the distributed control aspect of our architecture. We briefly address the new problems encountered and some of the methods we have used for the design of a high performance baseband modem for 4G telecommunication. II. FROM 3G TO 4G 4G systems, such as those currently prototyped in the framework of IST programs like MATRICE [8], 4MORE ([1],[10]), WINNER [9], are designed for data rates up to 100 Mbps. This is a major step compared to 3G systems, as defined by the 3GPP (and 3GPP2) projects, which allow aggregated data rates up to 2 Mbps. This performance increase has a dramatic impact on the complexity of new terminals, especially on the baseband processing part. The new challenge met by designers is twofold: some new IPs may be intrinsically very complex. But in addition, the functional chain is getting richer and less regular, and the option of a centralized control is not viable any more. A. New mobile terminals must be flexible For many reasons, such as time to market, standards evolution, interoperability, etc. flexibility is not an option for 4G terminals. Future mobile terminals should adapt with evolving standard. Ideally, these terminal architectures should allow for the combination of PHY layer data processing s in any arbitrary sequence. Designing a monolithic control to handle multiple combinations is not feasible. B. Motivation for an ASIC-based Baseband Modem Current baseband implementations for GSM, GPRS are digital signal processor (DSP)-centric, i.e. the DSP core performs the source codec function and most of the physical layer waveform processing. In the case of 4G high data rate communication, only a small part of the baseband processing can be handled by such a DSP or a processor core. This invalidates the previous hardware/software split which was used for UMTS (3G) as well as for GSM (2G). Thus, in such architectures, most of the baseband operations are still to be executed in hardware dedicated functional s. In the case of a discrete component implementation, this leads to a bus traffic bottleneck on the board and also contributes significantly to the power consumption. The only viable alternative is to integrate all components, i.e. CPU, DSP, dedicated hardware s and even memory on System-on-Chip (SoC) [2]. IP/SOC 2005 December 7-8,

2 Furthermore, on the other key aspects such as power dissipation and price, there is no doubt that a dedicated hardware outperforms an architecture based on DSP component ([3], [4]). III. THE FAUST APPROACH FAUST, which stands for Flexible Architecture of Unified Systems for Telecom, is an architectural concept initiated in 2003 for supporting multiple OFDM air interfaces in a single SoC. It was developed originally with IEEE a and MATRICE in mind, and it was naturally extended to the 4MORE mobile terminal baseband implementation. A. Design principles Our architecture obeys to two driving principles: 1) De-correlation of data processing and data moving For data processing, we implement a chosen set of key basic building blocks in a flexible and efficient way as independent functional s. Thus, differing data processing can be implemented just by combining these blocks in (almost) any arbitrary order. Data processing, done in the blocks, is decorrelated from data transport and data manipulation. Transport is organized around a layered protocol on top of a 2D meshed network. 2) Asynchronous communication At functional level, the control scheme systematically uses a mailbox scheme to avoid any synchronous communication. At lower level, bitlevel communications between s is based on dedicated un-clocked mechanisms, to preserve immy to timing and frequency. B. Coarse grain partitioning for flexibility The flexibility challenge of our architecture is to obtain the right balance between implementation efficiency and versatility. This is true at the global system level: the system has to be partitioned in a way that most functional s may be reused for different applications. This is also true at the level of each functional, which is configurable enough to support multiple applications at a minimum complexity cost. Any specific baseband processing flow is implemented on the architecture in two steps: first, the algorithms are mapped onto combinations of generic functional s and data manipulation operations. This is not straightforward and may involve rethinking the operation to save memory or latency. The second step is to organize the data control flow (e.g. joins and forks). The way to implement the control flow in a distributed fashion is detailed in following paragraph. Practically, our set of blocks span from generic vector arithmetic like vector multiplication to more OFDM specific functions such as FFT, etc. Pure data manipulation is specifically handled by a dedicated micro-programmed engine, called the engine, which allows any arbitrary rearrangement. The terminal switches between two baseband protocols by switching its control software (CPU and/or lower level software), by changing its routing paths and re-initializing the configurations of its functional s. C. The on-chip communication protocol The communication between blocks is in fact the key of the whole architecture of the SoC. Data communication adhere to a protocol called the NoC protocol. The protocol may be summarized as follows: Regarding physical and data-link layer related aspects, the NoC protocol is based on a 2D meshed topology, through which the data flow is routed between the functional s in a programmable way [5]. All functional s are equipped with input and output buffers, visible on Figure III-1 below. For on-chip communication, data retransmission overhead is not affordable. Thus, there can not be any data loss in the transport. Thus, data transfers may only happen when there is enough space in the recipient s input buffer. To guarantee this, i.e. the safeness of data transfer on the network, we have added a communication mechanism that regulates data traffic and avoids the need of data retransmission. This mechanism is based on the exchange of credits, i.e. signaling messages from destination to source allowing the source to send packets. source buffer 1: credits to source CPU destination buffer Eng. Figure III-1 : data and credit flow The mechanism is intrinsically simple: Before forwarding packets, the sender needs to receive credits from the receiver. At various times, the 2: data to destination IP/SOC 2005 December 7-8,

3 receiver sends credits to the sender, indicating available receive buffer size. As represented above, credits may follow another route than the data. The price to pay for this mechanism is an additional complexity in managing the credits, and a risk of functional interlocking. D. Distributed synchronization 1) Principle The combinatorial of the baseband progressing is such that the classical ASIC design approach, which consists to implement the function as a hierarchy of FSM coupled with data paths, is not feasible. It would be very difficult to develop and impossible to maintain, since any small change would require a change of the complete system. This is our main motivation to adopt a distributed and data synchronized approach. The baseband processing is implemented as a self-synchronized data pipe-line. Data moving is implemented in hardware, used as a low-level synchronization means. In other words, the SoC data path is globally organized as a pipe-line: data packets are pulled regularly from sources by the destinations. Every functional includes FIFO buffers to handle incoming and outgoing data. 2) Data sequentialization Each functional has enough autonomy to perform its processing. The functional synchronizes locally with its data sources and sinks: the processing is triggered by the arrival of data, and stops whenever there is no more data to process, or when the destination can not accept any more data. High-level control (CPU) Functional 1 Functional 2 Functional 3 Configurations messages Pull data messages Start Data processing Pull data messages Re-start Data processing Figure III-2 : FAUST generic synchronization mechanism In contrast to this local synchronization, global synchronization is kept to a strict minimum, and assumed via software by the embedded CPU, using interruptions. A typical example is shown on figure IV-2, where the CFO triggers a complex Start Data processing correction sequence by interrupting the CPU. This solution ensures maximum flexibility and acceptable performance, since such interruption happen seldom (once a frame), and do not interfere with the data processing critical path. However, a typical 4G baseband processing can not be simply reduced to a regular pipe-line: in most of the functional steps, data has to be sequentially sorted and submitted to distinct sinks. On the other side, some processing require data from different sources in a fixed order. When necessary, this sorting and dispatching of data is handled by the engine, using a specific data buffer and its associated microprogram. This mechanism is described in section E. 3) Immy to delays The Functional cores are wrapped by standardized network interfaces (appearing in white on Figure III-1) which buffer incoming and outgoing data. Therefore, the cores can fully ignore the exact arrival date of data. This makes the system loosely coupled and thus easier to modify without changing the global result of the processing. The price to pay is the addition of data FIFOs as input and output of each functional, plus, marginally, extra latency in the communication. E. Firmware for data manipulation Most of data handling follows the very same patterns: blocking or non blocking FIFO, regular data re-arrangement. Mutualization is therefore obviously a gain in complexity since it avoids duplication. Thus, we use the engine, a dedicated micro-programmed controller, to handle these aspects. This soft data management has huge advantages: It is capable or arbitrary rearrangement of data, and thus it realizes at minimum cost basic baseband functions such as framing, deframing, separation of pilot data, interleaving, or even some spatial multiplexing schemes. It implements natively blocking FIFOs which avoid the need of complex synchronizations, and do not require any mutual exclusion mechanism. Least but not least, data manipulation is programmed in a C-like syntax, which insures readability, and manageability. On the other hand, it requires the design of a complex data processor, and the development of a dedicated compiler. F. Impact on the system design 1) Impact on complexity Clearly, our architecture shifts the complexity out of the functional but increases the global transition graph of the system. IP/SOC 2005 December 7-8,

4 It decouples the different data processing functions, at the cost of extra hardware for the network and the wrapper interfaces. 2) New constraints The NoC implementation imposes other constraints on the communication schemes: Because of its switched structure, it is not well suited for read operations: thus, all SoC operations are based on combinations of write and write-back operations. There is no global ordering guaranty for data transfers. Packets emitted by different sources may overpass each other in the network. However, transfers from a same source to a same sink stay ordered. There is no acknowledgement for a write operation. Thus, sequentialization of data between different sources has to be done at the destination, by using the credit mechanism to trigger the data flows in the right order. Packets always arrive to their destination, but there is no guarantee of delay. 3) New problems: functional deadlocks, throughput, power consumption policy The FAUST architecture makes the design globally more manageable, but introduces new requirements to the system designer, who must pay attention to new problems: 1. Functional deadlocks: whereas the routing scheme guarantees the liveness of data routing, it is possible to introduce starvation in the system with incorrect data regulation policies. We used the SPIN [7] system for formal verification in our case. 2. Throughput: data latency on the NoC may vary according to the network topology and to the functional scenario: we used a modified version of NS2 in our case for evaluating the latencies and link saturations of our applications [6]. 3. Power consumption: instrumentation for power adds a new dimension to the design. It becomes possible to reduce the frequency or the voltage of any functional without changing the global functionality of the application. IV. FROM CONCEPTS TO DEMONSTRATOR A. Hardware demonstrator structure To validate the concepts presented in this paper, we have developed a first IC (the FAUST chip ) and a complete open NoC-based platform based on both FAUST and FPGA components has been designed (see Figure IV-1). This platform is primarily targeted for the 4MORE mobile terminal demonstrator. We have extended the SoC network to a FPGA, as shown in Figure IV-1 below, because we wanted to cover a large class of telecom baseband solutions. The FPGA holds very specific, non timing critical functional s such as frequency tracking, specific I/F to RF, etc. However, the network implemented on FPGA is physically different (e.g. synchronous) but fully compatible with the on-chip structure. Thus, a final end-user market SoC implementation may be obtained by simply shifting the FPGA blocks inside the chip. The prototyping platform features two ASIC and FPGA couples. It includes provisions for instrumentation: computing resources, memories, Ethernet interfaces, clock generators, I/Q analog and digital interfaces, debug and monitoring features. Eth TRX FAUST FAUST Ethernet IF Data Computing and Storage aspects Host Computer (application emulator) FPGA FPGA RF1 converter Test links TX RX TX RX RF2 converter Figure IV-1: Mixed on-chip and off-chip Platform Functionally, the platform implements the usual features of a wireless communication modem. More specifically, it is tuned for MIMO MC-C modulation for data rates up to 100 Mbps. It features: Carrier and packet detection, by means of correlation and equalization. Modulation and demodulation: it implements OFDM schemes associated with spectrum spreading. Mapping and de-mapping, using different constellations. Channel coding and decoding, using various codes, puncturing and programmable interleaving. Configurable hardware implementation for most functions: FFT, Viterbi coding, interleaving, mapping, scrambling, puncturing, etc. On-chip processor to add arbitrary functions to the data processing flow. RF1 Base Station RF2 IP/SOC 2005 December 7-8,

5 Programmable MAC control. B. A sequence example The diagram on Figure IV-2 illustrates typical features of our architecture: the punctual role of the CPU to manage a buffer and the beginning of reception, the usage of micro-programs to sort data in sequence and the usage of data credits to synchronize the sequence of operations. RF Interface 1 Buffer Oscillo correction Slot Synchro CPU interruption 2 3 Resize data buffer 4 Data symbols 8 Resize data buffer 9 Full Pilots symbols OFDM Demod Rotor Continuous pilots 6 Interruption Oscillo correction CFO Chan Est F correction coefs 7 F correction coef Full Pilots symbols After F correct Figure IV-2: Rx sequence schedule The 12 steps illustrated here are: 1. RF/BB interface is active, data is continuously sent to a buffer 2. In this step, data is forwarded to the in charge of identifying the slot synchronization symbol. 3. When found, an interruption is issued. 4. The CPU calculates the actual beginning of frame and re-aligns the buffer pointer. 5. Then, it sends selectively data symbols (including continuous pilots) to the OFDM demodulator (FFT) 6. Only continuous pilots are transmitted to the carrier frequency offset tracking. 7. Once frequency offset is obtained, correction is computed and an interruption is generated. Correction values after FFT are stored in another buffer (30 values, 1 per symbol). 8. The CPU updates the frequency of the RF/BB interface, which will be transferred to the VCTCXO at the end of the current slot. 9. The CPU eventually corrects the pointer buffer. 10. Then, it sends selectively full pilots symbols to the OFDM demodulator (FFT). 11. Full pilots symbols are transmitted from FFT to rotor for frequency offset correction. Correction values are read from a buffer. 12. Corrected full pilots are sent to channel estimator. 12 Buffer V. CONCLUSION The FAUST architecture is in fact a design framework for complex SoC systems. This approach simplifies the design at RT level, at the price of some constraints at higher levels. Our experience of a complex 4G baseband system shows the validity of our approach for telecommunication systems. Specifically, it is a promising approach for the effective implementation of Software-Defined Radios (SDR). More broadly, we think it is well adapted to dataintensive, irregular computation. However, like any truly distributed system, it requires extra care in the implementation. It introduces new dimensions to the design space, e.g. topology optimization, network performance, power control, and new constraints in the global synchronization schemes, which will force SoC designers to adopt the structured approaches used in complex software systems. ACKNOWLEDGMENTS The work presented here was carried out in the project 4More (4G MC-C multiple antenna system On chip for Radio Enhancements) that is supported from the European Commission in the framework of FP6 with the contract number IST The authors would like to acknowledge for this support and the possibility to carry out the research work. REFERENCES [1] Stefan Kaiser et al. 4G MC-C Multi Antenna System on Chip for Radio Enhancements (4MORE) IST summit, Lyon, June 2004 [2] Friedbert Berens, Yves Durand, Fabienne Nouvel, Stefan Kaiser Designing a multiple antenna MC-C SoC for beyond 3G, in Embedded Systems Conference, San Francisco, March 2005 [3] Josef Hausner, "Integrated Circuits for Next Generation Wireless System" in Proc. of the European Solid-State Circuits Conference (ESSIRC), 2001 [4] D. Greifendorf, J. Stammen, and P. Jung, The evolution of hardware platforms for mobile software defined radio terminals, in Proceedings of the IEEE Personal, Indoor, and Mobile Radio Conference (PIMRC), Lisbon, Portugal, Sept [5] F. Clermidy, D. Varreau, D. Lattard A Noc-based communication framework for seamless IP integration in complex systems IPSOC 2005 [6] R. Lemaire, F.Clermidy, Y. Durand, D. Lattard and A. Jerraya Performance Evaluation of a NoC-Based Design for MC-C Telecommunications using NS-2, in RSP 05 Intl Conference, 2005 [7] The SPIN model checker, available at [8] MATRICE project web page, available at [9] WINNER project web page, available at [10] 4MORE project web page, available at IP/SOC 2005 December 7-8,

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Di-Shi Sun and Douglas M. Blough School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

TDM Backhaul Over Unlicensed Bands

TDM Backhaul Over Unlicensed Bands White Paper TDM Backhaul Over Unlicensed Bands advanced radio technology for native wireless tdm solution in sub-6 ghz Corporate Headquarters T. +972.3.766.2917 E. sales@radwin.com www.radwin.com PAGE

More information

Multi-protocol controller for Industry 4.0

Multi-protocol controller for Industry 4.0 Multi-protocol controller for Industry 4.0 Andreas Schwope, Renesas Electronics Europe With the R-IN Engine architecture described in this article, a device can process both network communications and

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

FRONT-HAUL COMPRESSION FOR EMERGING C- RAN AND SMALL CELL NETWORKS

FRONT-HAUL COMPRESSION FOR EMERGING C- RAN AND SMALL CELL NETWORKS FRONT-HAUL COMPRESSION FOR EMERGING C- RAN AND SMALL CELL NETWORKS April 29, 2013 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (408) 284-8200 Fax: (408) 284-3572 2013 OVERVIEW This

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING David Lau (Altera Corporation, San Jose, CA, dlau@alteracom) Jarrod Blackburn, (Altera Corporation, San Jose, CA, jblackbu@alteracom)

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

3G wireless systems: UMTS

3G wireless systems: UMTS SCHOOL ON DIGITAL AND MULTIMEDIA COMMUNICATIONS USING TERRESTRIAL AND SATELLITE RADIO LINKS TELIT MOBILE TERMINALS 20th February 2002 Ing. PhD. Alberto Cerdeira Telit Mobile Terminals S.p.A. R&D IC Hardware

More information

Software-Defined Radios: Mobile Architectures Come Full Circle

Software-Defined Radios: Mobile Architectures Come Full Circle Software-Defined Radios: Mobile Architectures Come Full Circle Introduction Wireless communications systems have developed from simple amplitude modulation to sophisticated digital systems implementing

More information

Simplifying FPGA Design with A Novel Network-on-Chip Architecture

Simplifying FPGA Design with A Novel Network-on-Chip Architecture Simplifying FPGA Design with A Novel Network-on-Chip Architecture ABSTRACT John Malsbury Ettus Research 1043 N Shoreline Blvd Suite 100 +1 (650) 967-2870 john.malsbury@ettus.com As wireless communications

More information

FlexRay The Hardware View

FlexRay The Hardware View A White Paper Presented by IPextreme FlexRay The Hardware View Stefan Schmechtig / Jens Kjelsbak February 2006 FlexRay is an upcoming networking standard being established to raise the data rate, reliability,

More information

Wireless Networking: An Introduction. Hongwei Zhang

Wireless Networking: An Introduction. Hongwei Zhang Wireless Networking: An Introduction Hongwei Zhang http://www.cs.wayne.edu/~hzhang Outline Networking as resource allocation A taxonomy of current practice Technical elements Outline Networking as resource

More information

WIRELESS USB EXPLORER 300

WIRELESS USB EXPLORER 300 WIRELESS USB EXPLORER 300 UWB Protocol Analyzer for Certified Wireless USB Protocol Analysis and Verification for Certified Wireless USB and WiMedia Ultrawideband Systems Powerful Protocol Analyzer Speeds

More information

MODELING LANGUAGE FOR SOFTWARE DEFINED RADIO APPLICATIONS

MODELING LANGUAGE FOR SOFTWARE DEFINED RADIO APPLICATIONS ODELING LANGUAGE FOR SOFTWARE DEFINED RADIO APPLICATIONS atthias Weßeling (BenQ obile, CT PIC NGT, 46395 Bocholt, Germany, matthias.wesseling@siemens.com) 1. ABSTRACT The mobile communication market is

More information

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA;

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; SOFTWARE IMPLEMENTATION OF IEEE 802.11B WIRELESS LAN STANDARD Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; suyog@hellosoft.com) ABSTRACT Software-Defined Radio (SDR) is a rapidly evolving

More information

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview

MIPI Alliance Introduction & MIPI Camera Serial Interface Overview MIPI Alliance Introduction & MIPI Camera Serial Interface Overview Haran Thanigasalam Vice Chair, MIPI Camera Working Group About MIPI Alliance 260 Members (as of 4 May 2015) 45+ specifications and supporting

More information

Network protocols and. network systems INTRODUCTION CHAPTER

Network protocols and. network systems INTRODUCTION CHAPTER CHAPTER Network protocols and 2 network systems INTRODUCTION The technical area of telecommunications and networking is a mature area of engineering that has experienced significant contributions for more

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Analysis of a Multiple Content Variant Extension of the Multimedia Broadcast/Multicast Service

Analysis of a Multiple Content Variant Extension of the Multimedia Broadcast/Multicast Service PUBLISHED IN: PROCEEDINGS OF THE EUROPEAN WIRELESS 2006 CONFERENCE 1 Analysis of a Multiple Content Variant Extension of the Multimedia Broadcast/Multicast Service George Xylomenos, Konstantinos Katsaros

More information

Chapter 15 Local Area Network Overview

Chapter 15 Local Area Network Overview Chapter 15 Local Area Network Overview LAN Topologies Bus and Tree Bus: stations attach through tap to bus full duplex allows transmission and reception transmission propagates throughout medium heard

More information

CH : 15 LOCAL AREA NETWORK OVERVIEW

CH : 15 LOCAL AREA NETWORK OVERVIEW CH : 15 LOCAL AREA NETWORK OVERVIEW P. 447 LAN (Local Area Network) A LAN consists of a shared transmission medium and a set of hardware and software for interfacing devices to the medium and regulating

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Local Area Network Overview

Local Area Network Overview Local Area Network Overview Chapter 15 CS420/520 Axel Krings Page 1 LAN Applications (1) Personal computer LANs Low cost Limited data rate Back end networks Interconnecting large systems (mainframes and

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Multi-Channel Neural Spike Detection and Alignment on GiDEL PROCStar IV 530 FPGA Platform

Multi-Channel Neural Spike Detection and Alignment on GiDEL PROCStar IV 530 FPGA Platform UNIVERSITY OF CALIFORNIA, LOS ANGELES Multi-Channel Neural Spike Detection and Alignment on GiDEL PROCStar IV 530 FPGA Platform Aria Sarraf (SID: 604362886) 12/8/2014 Abstract In this report I present

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

INTRODUCTION DATA COMMUNICATION TELECOMMUNICATIONS SYSTEM COMPONENTS 1/28/2015. Satish Chandra satish0402.weebly.com

INTRODUCTION DATA COMMUNICATION TELECOMMUNICATIONS SYSTEM COMPONENTS 1/28/2015. Satish Chandra satish0402.weebly.com INTRODUCTION DATA COMMUNICATION Satish Chandra satish0402.weebly.com The term telecommunication means communication at a distance. The word data refers to information presented in whatever form is agreed

More information

Abstract of the Book

Abstract of the Book Book Keywords IEEE 802.16, IEEE 802.16m, mobile WiMAX, 4G, IMT-Advanced, 3GPP LTE, 3GPP LTE-Advanced, Broadband Wireless, Wireless Communications, Cellular Systems, Network Architecture Abstract of the

More information

Implementing FFT in an FPGA Co-Processor

Implementing FFT in an FPGA Co-Processor Implementing FFT in an FPGA Co-Processor Sheac Yee Lim Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 sylim@altera.com Andrew Crosland Altera Europe Holmers Farm Way High Wycombe,

More information

Eclipse IOT day April 3016 LoRa Overview. Wyres SAS 2016

Eclipse IOT day April 3016 LoRa Overview.  Wyres SAS 2016 Eclipse IOT day April 3016 LoRa Overview brian.wyld@wyres.fr www.wyres.eu Wyres SAS 2016 Contents LoRa objectives LoRa PHY overview Licenses / State regulation LoRa MAC : LoRaWAN Other MAC protocols Technology

More information

ET4254 Communications and Networking 1

ET4254 Communications and Networking 1 Topic 10:- Local Area Network Overview Aims:- LAN topologies and media LAN protocol architecture bridges, hubs, layer 2 & 3 switches 1 LAN Applications (1) personal computer LANs low cost limited data

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

CCM 4300 Lecture 5 Computer Networks, Wireless and Mobile Communications. Dr Shahedur Rahman. Room: T115

CCM 4300 Lecture 5 Computer Networks, Wireless and Mobile Communications. Dr Shahedur Rahman. Room: T115 CCM 4300 Lecture 5 Computer Networks, Wireless and Mobile Communications Dr Shahedur Rahman s.rahman@mdx.ac.uk Room: T115 1 Recap of Last Session Described the physical layer Analogue and Digital signal

More information

Integrated Circuit ORB (ICO) White Paper V1.1

Integrated Circuit ORB (ICO) White Paper V1.1 Integrated Circuit (ICO) White Paper V1.1 F. Humcke and D. Paniscotti PrismTech Corporation SYNOPSIS This white paper presents a detailed overview of PrismTech s Integrated Circuit (ICO) and describes

More information

TetraNode Scalability and Performance. White paper

TetraNode Scalability and Performance. White paper White paper Issue 1.0, May 2017 Introduction Rohill solutions are known for performance, flexibility, scalability, security and affordability. Also, the strong TetraNode system architecture, open standards-based

More information

structural RTL for mov ra, rb Answer:- (Page 164) Virtualians Social Network Prepared by: Irfan Khan

structural RTL for mov ra, rb Answer:- (Page 164) Virtualians Social Network  Prepared by: Irfan Khan Solved Subjective Midterm Papers For Preparation of Midterm Exam Two approaches for control unit. Answer:- (Page 150) Additionally, there are two different approaches to the control unit design; it can

More information

COMPUTER NETWORK Model Test Paper

COMPUTER NETWORK Model Test Paper Model Test Paper Question no. 1 is compulsory. Attempt all parts. Q1. Each question carries equal marks. (5*5 marks) A) Difference between Transmission Control Protocol (TCP) and User Datagram Protocol.

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

CAN protocol enhancement

CAN protocol enhancement Protocols CAN protocol enhancement This article describes the enhanced CAN protocol called CAN-HG and the features of the IC circuitry from Canis that implement it. CAN-HG has been designed to meet two

More information

Hybrid ARQ schemes for future wireless systems based on MC-CDMA

Hybrid ARQ schemes for future wireless systems based on MC-CDMA Hybrid ARQ schemes for future wireless systems based on MC-CDMA Johan Roman, Friedbert Berens, Miguel Kirsch, Stephane Tanrikulu Wireless Systems and Algorithms STMicroelectronics N.V 39, chemin du champ

More information

Business Aspects of FibeAir IP-20C

Business Aspects of FibeAir IP-20C Business Aspects of FibeAir IP-20C Introduction FibeAir IP-20C constitutes a breakthrough in capacity and cost-effectiveness in microwave communications bestowing significant competitive advantages on

More information

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y.

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Published in: Proceedings of the 2010 International Conference on Field-programmable

More information

Operating System Approaches for Dynamically Reconfigurable Hardware

Operating System Approaches for Dynamically Reconfigurable Hardware Operating System Approaches for Dynamically Reconfigurable Hardware Marco Platzner Computer Engineering Group University of Paderborn platzner@upb.de Outline operating systems for reconfigurable hardware

More information

Solving MIPI D-PHY Receiver Test Challenges

Solving MIPI D-PHY Receiver Test Challenges Stefan Walther and Yu Hu Verigy stefan.walther@verigy.com yu.hu@verigy.com Abstract MIPI stands for the Mobile Industry Processor Interface, which provides a flexible, low-cost, high-speed interface solution

More information

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper.

By choosing to view this document, you agree to all provisions of the copyright laws protecting it. (Go to next page to view the paper. Copyright 2004 Institute of Electrical and Electronics Engineers, Inc. Reprinted, with permission, from Semicon Europa EMTC in Munich on April 20, 2004, "Practical Design Methodologies that Enable Concurrent

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

Considerations for SDR Implementations in Commercial Radio Networks

Considerations for SDR Implementations in Commercial Radio Networks Considerations for SDR Implementations in Commercial Radio Networks Hans-Otto Scheck Nokia Networks P.O.Box 301 FIN-00045 Nokia Group hans-otto.scheck@nokia.com ETSI Software Defined Radio (SDR) / Cognitive

More information

Enhanced Ethernet Switching Technology. Time Applications. Rui Santos 17 / 04 / 2009

Enhanced Ethernet Switching Technology. Time Applications. Rui Santos 17 / 04 / 2009 Enhanced Ethernet Switching Technology for Adaptive Hard Real- Time Applications Rui Santos (rsantos@ua.pt) 17 / 04 / 2009 Problem 2 Switched Ethernet became common in real-time communications Some interesting

More information

Computer Network : Lecture Notes Nepal Engineering College Compiled by: Junior Professor: Daya Ram Budhathoki Nepal Engineering college, Changunarayan

Computer Network : Lecture Notes Nepal Engineering College Compiled by: Junior Professor: Daya Ram Budhathoki Nepal Engineering college, Changunarayan Computer Network : Lecture Notes Nepal Engineering College Compiled by: Junior Professor: Daya Ram Budhathoki Nepal Engineering college, Changunarayan Chapter3: OSI Reference Model: Network Software: Network

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

Design and Evaluation of a new MAC Protocol for Long- Distance Mesh Networks by Bhaskaran Raman & Kameswari Chebrolu ACM Mobicom 2005

Design and Evaluation of a new MAC Protocol for Long- Distance Mesh Networks by Bhaskaran Raman & Kameswari Chebrolu ACM Mobicom 2005 Design and Evaluation of a new MAC Protocol for Long- Distance 802.11 Mesh Networks by Bhaskaran Raman & Kameswari Chebrolu ACM Mobicom 2005 Reviewed by Anupama Guha Thakurta CS525M - Mobile and Ubiquitous

More information

How to achieve low latency audio/video streaming over IP network?

How to achieve low latency audio/video streaming over IP network? February 2018 How to achieve low latency audio/video streaming over IP network? Jean-Marie Cloquet, Video Division Director, Silex Inside Gregory Baudet, Marketing Manager, Silex Inside Standard audio

More information

CHAPTER 5 PROPAGATION DELAY

CHAPTER 5 PROPAGATION DELAY 98 CHAPTER 5 PROPAGATION DELAY Underwater wireless sensor networks deployed of sensor nodes with sensing, forwarding and processing abilities that operate in underwater. In this environment brought challenges,

More information

Adaptive Modulation and Coding

Adaptive Modulation and Coding Adaptive Modulation and Coding Andrea Garavaglia and Juan Montojo (Qualcomm) PAGE 1 IEEE 802.3bn San Antonio, TX 12-15 November 2012 Supported by Nicola Varanese (Qualcomm) Charaf Hanna (ST Micro) Duane

More information

Novel Intelligent I/O Architecture Eliminating the Bus Bottleneck

Novel Intelligent I/O Architecture Eliminating the Bus Bottleneck Novel Intelligent I/O Architecture Eliminating the Bus Bottleneck Volker Lindenstruth; lindenstruth@computer.org The continued increase in Internet throughput and the emergence of broadband access networks

More information

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 Outline Motivation Current situation Goal RFNoC Basic concepts Architecture overview Summary No Demo! See our booth,

More information

1. IEEE and ZigBee working model.

1. IEEE and ZigBee working model. ZigBee SoCs provide cost-effective solutions Integrating a radio transceiver, data processing unit, memory and user-application features on one chip combines high performance with low cost Khanh Tuan Le,

More information

HSPA+ Advanced Smart Networks: Multipoint Transmission

HSPA+ Advanced Smart Networks: Multipoint Transmission Qualcomm Incorporated February 2011 Table of Contents 1. Introduction... 1 2. Multipoint HSPA Description... 2 Single Frequency Multipoint HSPA... 2 Dual Frequency Multipoint HSPA... 3 3. Advantages...

More information

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 211 ADAPTIG A SDR EVIROMET TO GPU ARCHITECTURES Pierre-Henri Horrein (CEA, Leti, Minatec, Grenoble, France; pierre-henri.horrein@cea.fr); Christine Hennebert

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE Jamil Y. Khan 1, Pratik Das 2 School of Electrical Engineering and Computer Science, University of Newcastle, Callaghan, NSW 238,

More information

By Ambuj Varshney & Akshat Logar

By Ambuj Varshney & Akshat Logar By Ambuj Varshney & Akshat Logar Wireless operations permits services, such as long range communications, that are impossible or impractical to implement with the use of wires. The term is commonly used

More information

Throughput Considerations for Wireless Networks

Throughput Considerations for Wireless Networks Wi4Net White Paper: Throughput Considerations for Wireless Networks About us CelPlan Technologies has been a worldwide leading provider of wireless network design, optimization and performance evaluation

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Mobile Broadband Comparison. CDMA Development Group March 2008

Mobile Broadband Comparison. CDMA Development Group March 2008 Mobile Broadband Comparison CDMA Development Group March 2008 Assumptions and Notes for the Technology Comparison This document compares the performance of existing and future mobile communications systems

More information

Baseband Signal Processing Framework for the OsmocomBB GSM Protocol Stack

Baseband Signal Processing Framework for the OsmocomBB GSM Protocol Stack Proceedings of SDR'12-WInnComm-Europe, 27-29 June 2012 Baseband Signal Processing Framework for the OsmocomBB GSM Protocol Stack Harald Kröll, Christian Benkeser, Stefan Zwicky, Benjamin Weber, Qiuting

More information

Introduction to Open System Interconnection Reference Model

Introduction to Open System Interconnection Reference Model Chapter 5 Introduction to OSI Reference Model 1 Chapter 5 Introduction to Open System Interconnection Reference Model Introduction The Open Systems Interconnection (OSI) model is a reference tool for understanding

More information

Getting Connected (Chapter 2 Part 4) Networking CS 3470, Section 1 Sarah Diesburg

Getting Connected (Chapter 2 Part 4) Networking CS 3470, Section 1 Sarah Diesburg Getting Connected (Chapter 2 Part 4) Networking CS 3470, Section 1 Sarah Diesburg Five Problems Encoding/decoding Framing Error Detection Error Correction Media Access Five Problems Encoding/decoding Framing

More information

Introduction to Protocols

Introduction to Protocols Chapter 6 Introduction to Protocols 1 Chapter 6 Introduction to Protocols What is a Network Protocol? A protocol is a set of rules that governs the communications between computers on a network. These

More information

Mobile Network Evolution

Mobile Network Evolution ANSI Mobile Network Evolution Eclipse Packet Node, enabling the 4G mobile broadband future The explosive demand for the next-generation mobile user experience is forcing operators to quickly implement

More information

Wireless IP for IoT / M2M 101 The Basics

Wireless IP for IoT / M2M 101 The Basics Wireless IP for IoT / M2M 101 The Basics Aeris White Paper A concise introduction to using wireless devices for Internet of Things (IoT) and machine-to-machine (M2M) data transmissions. www.aeris.com 1

More information

Some Useful Experiences

Some Useful Experiences Chapter 5 Some Useful Experiences After discussing the implementation of the whole system, we hope that we can provide some useful experiences for those who would like to build up a similar system. In

More information

An optically transparent ultra high speed LAN-ring employing OTDM

An optically transparent ultra high speed LAN-ring employing OTDM An optically transparent ultra high speed LAN-ring employing OTDM K. Bengi, G. Remsak, H.R. van As Vienna University of Technology, Institute of Communication Networks Gusshausstrasse 25/388, A-1040 Vienna,

More information

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004.

White Paper. The advantages of using a combination of DSP s and FPGA s. Version: 1.0. Author: Louis N. Bélanger. Date: May, 2004. White Paper The advantages of using a combination of DSP s and FPGA s Version: 1.0 Author: Louis N. Bélanger Date: May, 2004 Lyrtech Inc The advantages of using a combination of DSP s and FPGA s DSP and

More information

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Developing deterministic networking technology for railway applications using TTEthernet software-based end systems Project n 100021 Astrit Ademaj, TTTech Computertechnik AG Outline GENESYS requirements

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

2. REAL-TIME CONTROL SYSTEM AND REAL-TIME NETWORKS

2. REAL-TIME CONTROL SYSTEM AND REAL-TIME NETWORKS 2. REAL-TIME CONTROL SYSTEM AND REAL-TIME NETWORKS 2.1 Real-Time and Control Computer based digital controllers typically have the ability to monitor a number of discrete and analog inputs, perform complex

More information

The path toward C-RAN and V-RAN: benefits and challenges from operator perspective

The path toward C-RAN and V-RAN: benefits and challenges from operator perspective TELECOM ITALIA GROUP 5G World Summit London, 29-30 June 2016 : benefits and challenges from operator perspective Marco Caretti Telecom Italia Engineering & TiLAB Agenda The drivers for the RAN evolution

More information

Improving DPDK Performance

Improving DPDK Performance Improving DPDK Performance Data Plane Development Kit (DPDK) was pioneered by Intel as a way to boost the speed of packet API with standard hardware. DPDK-enabled applications typically show four or more

More information

WP-PD Wirepas Mesh Overview

WP-PD Wirepas Mesh Overview WP-PD-123 - Wirepas Mesh Overview Product Description Version: v1.0a Wirepas Mesh is a de-centralized radio communications protocol for devices. The Wirepas Mesh protocol software can be used in any device,

More information

Worst-case Ethernet Network Latency for Shaped Sources

Worst-case Ethernet Network Latency for Shaped Sources Worst-case Ethernet Network Latency for Shaped Sources Max Azarov, SMSC 7th October 2005 Contents For 802.3 ResE study group 1 Worst-case latency theorem 1 1.1 Assumptions.............................

More information

4G Mobile Communications

4G Mobile Communications 4G Mobile Communications Welcome to 4G The term 4G is used broadly to include several types of broadband wireless access communication systems, not only cellular telephone systems. One of the terms to

More information

Enhancing Packet Data Access in WCDMA

Enhancing Packet Data Access in WCDMA Enhancing Packet Data Access in WCDMA Janne Peisa a, Stefan Parkvall b, Erik Dahlman b, Pål Frenger b, Per Beming b a Ericsson Research, FIN-02420 Jorvas, Finland b Ericsson Research, 164 80 Stockholm,

More information

Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar

Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar Mickaël Dardaillon Research Intern with NOKIA Technologies January 27th, 2015 2 / 33 What we know

More information

Chapter 13: I/O Systems

Chapter 13: I/O Systems Chapter 13: I/O Systems DM510-14 Chapter 13: I/O Systems I/O Hardware Application I/O Interface Kernel I/O Subsystem Transforming I/O Requests to Hardware Operations STREAMS Performance 13.2 Objectives

More information

Chapter 5 Ad Hoc Wireless Network. Jang Ping Sheu

Chapter 5 Ad Hoc Wireless Network. Jang Ping Sheu Chapter 5 Ad Hoc Wireless Network Jang Ping Sheu Introduction Ad Hoc Network is a multi-hop relaying network ALOHAnet developed in 1970 Ethernet developed in 1980 In 1994, Bluetooth proposed by Ericsson

More information

CHAPTER TWO LITERATURE REVIEW

CHAPTER TWO LITERATURE REVIEW CHAPTER TWO LITERATURE REVIEW 2.1 Introduction. This chapter provides in detail about the multiple access technologies and the OCDMA system. It starts with a discussion on various existing multiple-access

More information

Chapter 13: I/O Systems. Operating System Concepts 9 th Edition

Chapter 13: I/O Systems. Operating System Concepts 9 th Edition Chapter 13: I/O Systems Silberschatz, Galvin and Gagne 2013 Chapter 13: I/O Systems Overview I/O Hardware Application I/O Interface Kernel I/O Subsystem Transforming I/O Requests to Hardware Operations

More information

Architect a Next-Gen ac Wave 3 Software-Defined Modem

Architect a Next-Gen ac Wave 3 Software-Defined Modem Architect a Next-Gen 802.11ac Wave 3 Software-Defined Modem White Paper Lisa Meilhac, PhD. WLAN System Architect, CEVA Franz Dugand. Sales and Marketing Director, CEVA Table of Contents 1. Introduction

More information

ECE 158A: Lecture 13. Fall 2015

ECE 158A: Lecture 13. Fall 2015 ECE 158A: Lecture 13 Fall 2015 Random Access and Ethernet! Random Access! Basic idea: Exploit statistical multiplexing Do not avoid collisions, just recover from them When a node has packet to send Transmit

More information

Communication Systems for the Mobile Information Society

Communication Systems for the Mobile Information Society Communication Systems for the Mobile Information Society Martin Sauter Nortel Networks, Germany John Wiley Si Sons, Ltd Contents Preface List of Figures List of Tables List of Abbreviations xi xiii xix

More information