The Difference-bit Cache*

Size: px
Start display at page:

Download "The Difference-bit Cache*"

Transcription

1 The Difference-bi Cache* Toni Juan, Tomas Lang~ and Juan J. Navarro Deparmen of Compuer Archiecure Deparmen of Elecrical and Universia Poli&cnica de Caalunya Compuer Engineering Gran CapiiJ s/n, Modul D6 Universiy of California a rvine E Barcelona, (Spain) langhci. edu {anonioj, juanjo}@ac.upc. es Absrac The difference-bi cache is a wo-way se-associaive cache wih an access ime ha is smaller han ha of a convenional one and close or equal o ha of a direc-mapped cache. This is achieved by noicing ha he wo ags for a se have o differ a leas by one bi and by using his bi o selec he way. n conras wih previous approaches ha predic he way and have wo ypes of his (primary of one cycle and secondary of wo o four cycles), all his of he difference-bi cache are of one cycle, The evaluaion of he access ime of our cache organizaion has been performed using a recenly proposed on-chip cache access model. nroducion Since he cycle ime of a pipelined processor is usually deermined by he cache access ime [4], [ O], [2], he bes performance is ob ained wih a direc-mapped firs-level cache [], [7], [5], even hough for mos programs he miss raio of his cache is somewha greaer han ha of a se-associaive cache [3], [5], [6], [3]. A clear performance improvemen could be obained if i is possible o have a cache wih he access ime of he direc-mapped cache and he miss raio of he se-associaive cache. Cache organizaions ha modify a se-associaive cache o achieve an average access ime close o ha of a direcmapped cache are presened in [9], [4], [2], [] and a design framework is presened in [6]. All hese proposals are based on he same idea, namely, a candidae line is seleced in a ime corresponding o he direc access, while i akes longer o deermine wheher i is he correc line. Becanse of he speculaive naure of he iniial selecion, hese schemes have wo kinds of his: primary his having a laency of one cycle, and secondary his wih a laency from wo o four cycles. As a consequence, he average hi ime is somewha *This work was suppored by he Minisry of Educaion and Science of Spain (CCYT TC-0429/95) and by he EU (ESPRT Projec APPARC 6634) Permieaion o make digialhard mpy of per or all of his work for personal or classroom use is raned wihou fee provided ha copies are no made or disribued for pro or commercial advana e, he copyrigh noice, he ile of he ubikxdion and ik dae appear, an i noioe is given ha copying S i y permission of ACM, nc. To copy oherwise, o republish, o pos on serwm, or o redisribue o liss, requires prior specific permission and/or a fee. WA PA, 99S ACM s6-s/9s/ $3.50 larger han ha of a direc-mapped cache. The proposals menioned differ in he funcion used o predic he candidae line. Oher relaed approaches are he vicim cache [8] and he virual lines [5]. n he vicim cache he miss rae is he same of he direc-mapped cache bu here are wo kinds of misses, he normal ones and faser ones served by a small fully-associaive cache placed beween he firs and he second level caches. The proposal of virual lines exends he vicim cache mainly by reducing he line size of he main cache o increase he emporaj localiy and by increasing he line size in he auxiliar cache o improve he spaial localiy. We furher describe previous approaches in Secion 3. n he organizaion presened here he hi ime is faser han ha of a wo-way se-associaive cache and close or equal o ha of a direc-mapped cache, he acual value depending on he echnology. n conras wih previous approaches ha predic he way and have wo ypes of his (primary of one cycle and secondary of wo o four cycles), all his of he difference-bi cache are of one cycle. Moreover, he miss rae is equal o a wo-way se-associaive cache. On he oher hand, his proposal is only well suied for he woway case, whereas he previous ones do no have his limi aion. However, he reducion in miss rae obained by a higher associaiviy is small [6], [2]. Furhermore, increasing he degree of associaiviy in he oher proposals increases he number of secondary his, worsening he average memory access ime. The organizaion presened requires a cache wih virual addresses and ags, since he bis needed would no be available in ime if he addresses or ags have o be ranslaed.. Muliarray implemenaion The organizaion we propose is based on he fac ha an opimal (fases) realizaion of he daa par of a cache memory consiss of several subarrays as shown in Figure [7], [8]. The number of subarrays and heir size are a funcion of he cache size and of echnology characerisics and implemenaion resricions. For his realizaion, in he direc-mapped case (see Figure 2) he index bis are pariioned ino wo pars: one par is used o access a row from each subarray and he oher o selec he desired subarray. Moreover, he word bis are used o selec he word from he line. Shown in Figure is only he pariion of he bi line, alhough an acual implemenaion would also pariion he word line. We do no show his pariion because i does no have an effec in our proposal. 4

2 !X 3 a) ndex b) m, ,, l,- f ( n- + J L Figure : deal memory array (a) and opimal aspec raio for same memory (b), The same pariioning of he index bis is used in he w-way se-associaive case (see Figure 3 for w = 2), wih he difference ha w bis of he second par are obained from he ag comparisons, insead of from he index (afer decoding). The access ime of he se-associaive cache is larger han ha of a direc-mapped cache because usually he criical pah is hrough he ag par and includes he access of ags and he comparisons [5], [8]. From he muliarray organizaions shown, he following characerisics can be observed: For he direc-mapped cache, he delay of he signals o enable he ri-sae gaes is smaller han he ime o access he daa from he memory. Consequenly, he ime o obain he resuling daa line is equal o he ime in which he daa is available a he oupu of he subarray plus he delay of he ri-sae gae. Moreover, he ag comparaor is no in he criical pah since he daa can be ransferred o he nex sage of he pipeline wihou knowing wheher he access is a hi. This informaion is only required before he use of he daa, usually o sore i in a processor regiser. To have a se-associaive cache wih he same word access ime w he direc-mapped cache of he same capaciy and line size, i is sufficien ha he enable signals of he ri-sae gaes are obained wih a delay which is smaller han he access ime of he diiri subarray, To achieve his, schemes [4], [9], [2] h a,ve been proposed in which he correc way is predice(i. However, because of he predicion, here are wo ypes of his and he average hi ime is somewha larger han ha of a direc-mapped cache. n his paper we describe a new cache organizaion which achieves he hi ime of he direc-mapped cache for a woway se-associaive cache. Tha is,. We deermine he enable signals of he he ri-sae gaes wih a suiably small delay, and We do no perform predicion, bu selec he correc word if here is a hi: The comparisons of he ags are only used o deermine wheher here is a hi, bu no o choose he line. Consequenly, all his are of one cycle. 2 The difference-bi cache Our realizaion of a wo-way se-associaive cache is based on he fac ha he wo sored ags ha correspond o a se have o differ in a leas one bi. We call dhf-index he posiion in he ag of he leas-significan bi in which hese wo ags differ and cliff-value he value of he bi in he ag of way O of he se. These cliff-index and cliff-value are used o deermine he enable signals of he ri-sae gaes as shown in Figure 4. To do his, he pairs (cliff-index, diffwdue) are sored in he Diff memory of size S x r, where S is he number of ses of he wo-way associaive cache and he value r depends on he code used o represen cliff-index. f is he number of bis of he ag, he minimum value of r is [Zog,] +, wih he binary code, and he maximum +, wi h he l-ou-of- code; inermediae values are obained wih oher codes, as discussed laer. The enable signals of he ri-sae gaes are obained as follows: The corresponding enry of he Diff memory is read, simulaneously wih he daa (and wih he ags, alhough hese are no in he criical pah). The obained cliff-index is used o selec he corresponding bi of he ag porion of he address. The seleced bi and cliff-value are used o deermine he way: if he bis are equrd hen way O of he se is seleced, whereas if hey are differen way is seleced. The way bis (for way O and for way ) are used o drive he enable signal of he ri-sae gaes ha pass he corresponding word. 5

3 @l 4--J--+ il, i h l $? cmp Hi? Daa Word Figure Muli-array realizaion ofadirec-mapped cache (only hepariioning of he daa par is shown). Noe also ha only one ag comparaor is needed since heago compare can deseleced as shown in Figure4. n a miss, i is necessary o deermine he new cliff-index and cliff-value. The simple hardware required is no shown in he Figure. consiss of an array ofxor gaes, o compare he bis of he ags, andaprioriy encoder, for he paricular code used for he cliff-index. For he replacemen policy, here are he same choices as for he convenional wo-way se-associaive cache, resuling in he same miss raio. 2, Deerminaion ofcriical pah We now deermine hecriical pah in order o argue ha i is plausible ha he access ime of he described woway se-associaive cache is equal o ha of a direc-mapped cache of he same capaciy and line size. The criical pah is.= max(~a~a,.na~~e)+~ri as shown in Figure 4, Consequenly, he access ime corresponds o ha of a direc-mapped cache if As described above, enable < d~~~ enable 7 diff +.$e~ec + way + drive where diff is he access ime of he Diff memory. The erms diff and,elec are relaed and depend on he code used o represen cliff-index. n general, if he code has more bis difis larger since he memory is wider bu..i.c is smaller because he decoding is simpler. The opimal combinaion depends on he increase of cijj wih he memory widh and on he complexiy of he corresponding decoder. s e~able < da~a? This depends on he echnology and on he implemenaion resricions. Alhough an implemenaion or circui-level modeling is required o give a definiive answer, we claim ha his is reasonable because he Diff memory is significanly smaller han one subarray of Daa. This is so because he daa memory has a widh of one line (L bis), whereas he Diff memory has a widh of T bis (and r < -L). Consequenly, in pracical cases, he widh of Diff is several imes smaller han he widh of D a ~ for example, for a processor wih = 30 and L = 256 resuling in a Diff widh of beween 6 and 3 bis, depending on he code, and a Daa widh of 256 bis. Moreover, he number of rows of Diff is one half of ha of Daa. Finally, he opimal pariion of Daa using [8] produces from wo o eigh subarrays, so ha Diff is significanly smaller han one Daa subarray. As a consequence, he access ime of Diff is smaller han ha of a Daa subarray, so ha he way selecion can be performed in ime. To confirm ha i is plausible o conclude ha he resuling hi access ime corresponds o ha of a direc-mapped cache, we evaluae he delay using he deailed analyical access model for on-chip caches presened in [8] and apply i o a cache of he characerisics similar of ha of he Alpha processors (8 Kbyes, line of 32 byes, ag of 3 bis) and o caches wih wice and four imes his capaciy. The evaluaion of he direc-mapped cache and he convenional wo-way se-associaive cache are performed using ac i, he sofware associaed wih [8], whereas he evaluaion of he difference-bi cache is obained analyically using he expressions given in [8]. Following he approach used in [8], in Figure 5 we develop an implemenaion for he fully-decoded scheme of he general idea shown in Figure 4 (we do no include he ag memory and he ag comparaors, since his does no affec he daa selecion par). The word-selecion par of he implemen aion has he following componens: 6

4 @ EK\\Y J Way Way O, , * Daa Word Figure 3: Muli-array realizaion of a convenional wo-way se-associaive cache (only he physical pariioning of he daa par is shown). The Diff memory. A decoder o decode he cliff-index (his decoder does no appear in Fig 5 since i is no necessary for he fully-decoded case). b A selecor of he corresponding ag bi. This is implemened as a column of a memory, wih one bi line and a sense amplifier. To obain he bi and is complemen, wo sense amplifiers are used. A 2x2 crossbar o obain he wayo and wayl bis. This crossbar is conrolled by he cliff-value. The driver of he enable signals of he word ri-sae gaes, As in [8], his is implemened in hree levels of gaes. Moreover, we include here he delay of an inverer ha is par of he ri-sae gae. The evaluaion of he criical pah is done for lines of 4 words, words of 64 bis, addresses of 43 bis and he echnology parameers of he model presened in [8] (for a.8,um CMOS echnology )2. The only varying pimameer is he cache capaciy. Neverheless, for he delay of he enable signals in he difference-bi cache, we have considered hree coding opions: fully-encoded (5 bis), fully-decoded (from 29 o 3 bis depending on he cache capaciy) and parially-encoded (6+6 = 2 bis and a decoding of one level of wo-inpu gaes). To simplify he presenaion of he resuls, we divide he delay of he enable signal ino an invarian par (no dependen on he capaciy nor on he coding) and a variable par. The componens of he invarian par correspond o he following imes: 2 According o [8] numbers for a.5pm echnology can be obained dividing all delays by.6, so ha he conclusions remain he same. Capaciy Coding di f f decodeinvariun ~nable Kb [ns] [ns] [ns] [ns] [ns] / , Table : Delay for 8, 6 and 32 Kbyes difference-bi caches for he fully-encoded (5+), parially-encoded (2+ ) and fully-decoded (3+, 30+ and 29+) schemes. selecion of he ag bi, eelec = 0.6 nsec. crossbar, w.g= 0.2 nsec. Q driver of enable signals, d~i~~ = 2. nsec. This oal invarian par is hen of 2.9 nsec. Table gives he delay of he enable signal for differen capaciies and coding schemes. Using his daa, in able 2, we compare he delay of he enable signal for a convenional wo-way se-associaive cache and for he differencebi cache wih he delay of he daa par of he cache. From hese ables we conclude ha he difference-bi cache is considerably faser han he convenional wo-way seassociaive cache and ha, choosing a suiable encoding, i is reasonable o argue ha he access ime of he differencebi cache is equal o ha of a direc-mapped cache. 7

5 Way Way O ! r Hi? Daa Word Figure 4: The difference-bi cache. Capaciy Conv. wo-way Bes Diff Daa Kb [ns] [ns] [ns] , Table 2: Delay of he enable signal for a convenional woway se-associaive cache and for he bes difference-bi cache and delay of he daa subarray. 2.2 Area increase The area requiremens of he new wo-way se-associaive cache implemenaion are somewha larger han hose of he convenional we-way se-associaive cache. This exra area corresponds o he shaded porion of Figure 4. The main conribuion o his area is he Diff memorv of size S x T bis. n comparison, he Daa cache has 2S x L bis (.L is he line size in bis) and he ag memory has area S x (2) so ha he fracion of increase is 2(LT+ ) Table 3: Delay of he criical pah for convenional wo-way se-associaive caches (~o~~ = ~g + cmp+ dr;oe. ) To clarify furher he difference beween he wo-way convenional cache and he difference-bi cache, in Table 3 we give a breakdown of he imes of he former, The idea can be applied o any cache and line sizes. For a given echnology, he access ime would be closer o ha of a direc-mapped cache for larger cache size since he invarian par of he delay in he difference-bi cache becomes less significan when he size increases. This is also he case for longer lines (for he same capaciy) since he number of ses is reduced, resuling in a shorer Diff array. For pracical cases his fracion is small; for example for he values used in he evaluaion, similar o hose of he Alpha family of processors, i is beween 0.0 and 0.06 depending on he Diff implemenaion. Table 4 shows oher ypical values. Since he added area depends on he widh of he Diff memory, i is convenien o choose he minimum widh ha achieves he required access ime. From he access ime daa given in Table, we would choose T = 3 for he 8K case (a 2% area increase) and r = 6 for he 6K and 32K cases (a % area increase). 3 Relaed work As menioned in he nroducion, several previous proposals have considered a se-associaive cache wih he access ime of a direc-mapped cache. The common denominaor among hese proposals is ha a predicion of he way is performed and he corresponding word is seleced. Laer, afer he ag comparisons, he correc way is deermined and a new selecion has o be performed if he predicion failed. Consequenly, here are wo ypes of his w follows: s Primary his, which occur when he predicion is correc. These his are served in one cycle. 8

6 ag index h~n..3 L To driver of enable signal Figure 5: A possible hardware implemenaion for he ag selecion and way signals. ; yfl + 2[/l + +ll ().04 3 O.O CL06 Table 4: Fracion of area increase of he difference-bi cache compared wih a direc-mapped cache wih he same capaciy and line size. Secondary his, when he predicion fails. n his case, anoher selecion has o be performed, so ha he hi requires from wo o four cycles. The proposals differ in he funcion used for selecion and on he replacemen policy. n cen ras, he difference-bi cache proposed here achieves he miss rae of a wo-way seassociaive cache and he hi ime of a direc-mapped cache wih all he his being primary his. We now describe in somewha more deail hese previous proposals. n he MRU cache [4] he prediced line is he mosrecenly used one of he se. Secondary his require wo cycles, This scheme can be used for any degree of associaiviy bu as he associaiviy increases he probabiliy o have a primary hi decreases, worsening he average hi ime. n he column-associaive cache [] wo hashing funcions are applied o an address. The daa is accessed using he firs hashing funcion (similar o direc mapping). f his firi funcion misses, a second funcion is used for a secondary hi. f he second funcion is a hi, he lines corresponding o hese wo funcions are swapped. n a miss, he las line referenced is placed according o he firs hashing funcion. A secondary hi requires hree cycles. Moreover, due o he sequenial applicaion of wo hashing funcions, he miss cycle ime is increased in hree cycles. Anoher propos.d is he DASC cache [2]. This is a ses.wociaive cache in which he predicion is done assuming a direc-mapped cache. f he ag side deecs a hi in anoher posiion of he se, he daa use is abored and he line in he accessed posiion and in he correc posiion are swapped. A secondary hi requires four cycles, n case of miss, he line is wrien according o he replacemen algorihm and hen is swapped wih he line ha is accessed in a direc-mapped cache. Again i can be used for any degree of associaiviy bu he probabiliy of firs-ime hi decreases. The las proposal is he PAD cache [9]. The ag side is divided ino wo pars. The firs par holds he k leassignifican bis of he ags and he oher par keeps he remaining bis. The way is prediced comparing he ags of he firs par. n case of more han one hi in his par, any of he ways (for example he mos-recenly used) is accessed while he second par of he ags are compared o deermine if he correc way was prediced. The penaly of secondary his is of one addiional cycle. can be used for any degree of associaiviy bu he probabiliy of primary hi decreases. 4 Conclusions We have presened he difference-bi cache, a new organizaion of a wo-way se-associaive cache wih he access ime of a direc-mapped cache of he same capaciy and line size. This access ime is obained by separaing he selecion of he proper way from he deecion of a hi, and selecing he way using he leas-significan bi in which boh ags of a se differ. The performance obained wih he difference-bi cache is beer han he performance obained wih a direcmapped cache, a convenional wo-way se-associaive cache and wih any of he previous proposals ha cause wo ypes of his. Our proposal has been evaluaed using he implemenaion approach and he deailed cache model of [8]. The resuls of his evaluaion show ha he desired access ime is achieved for parameers corresponding o pracical firs-level caches. The addiional area of he selecion mechanism is small and has been esimaed a abou 3 ZO of he cache area. The difference-bi cache can be direcly used for virualindexed/virual-agged caches. requires a cache wih virual ags, since he delay of he address ranslaion would no allow a selecion of he way in ime. Moreover, he index has o be virual o permi a fas daa access. However, hese virualindexed/virual-agged caches have wo drawbacks: a) a conex swich may invalidae all cache lines unless he cache lines are agged wih idenifiers of heir address space, and b) wo or more virual addresses can map o he same real address inroducing synonym problems [9]. Virual-indexed bu real-agged (V/R) caches are preferred because hey do no suffer from he conex-swiching problem. Moreover, he synonyms problem is minimized. We are invesigaing he possibiliy of adaping he differencebi cache o V/R caches. Noice ha he ranslaed ag is no needed unil he bi selecion so ha he ranslaion can overlap wih he access o he Diff memory. is no clear a his poin wheher his overlap is sufficien o ge a suiable access ime. The difference-bi idea can be applied o any degree of associaiviy bu he addiional area required increases significanly and also he access ime. 9

7 Acknowledgemens We hank Dr. Andre Seznec for his help in clarifying he applicabiliy y for he V/R case and he anonymous reviewers for heir useful commens. References [] Anan Agarwal and Seven D. Pudar. Columnassociaive caches: A echnique for reducing he miss rae of direc-mapped caches. n Proc. of he n. Syvnp. on Compuer Archiecure, pages 79 90, 993. [2] Keih Boland and Aposollos Dollas. Predicing and precluding problems wih memory laency. EEE Micro, pages 59 67, Aug 994. [3] Jeffrey D. Gee, Mark D. Hill, Dionisios N. Pneumaikaos, and Alan Jay Smih. Cache performance of he spec92 benchmark suie. EEE Micro, Vol. 3(4):8-6, Aug 993. [6] Kevin B. Theobald, Herber H. J. Hum, and Guang R. Gao. A design framework for hybrid-access caches. n Proc. of he s n. Symp. on High-Performance Compuer Archiecure, pages 44 53, Jan 995. [7] Tomohisa Wada, Suresh Rajan, and Seven A. Przybylski. An analyical access ime model for on-chip cache memories. EEE Journal of Solid-Sae Circuis, Vol. 27(8):47-56, Aug 992. [8] Seven J.E. Wilon and Norman P. Jouppi. An enhanced access and cycle ime model for on-chip caches. Research Repor 93/5, Digial WRL, Jul 994. [9] C. Eric Wu, Yarsun Hsu, and Yew-Huey Liu. A quaniaive evaluaion of cache ypes for high-performance compuer sysems. EEE rans. on Compuers, Vol. 42(0):54-62, O 993. [4] John L. Hennessy and Norman P. Jouppi. Compuer echnology and archiecure: An evolving ineracion. EEE Compuer, pages 8-29, Sep 99. [5] Mark D. Hill. A case for direc-mapped caches. EEE Compuer, pages 25-40, Dec 988. [6] Mark D. Hill and Alan Jay Smih. Evaluaing associaiviy in CPU caches. EEE rans. on Compuers, Vol. 38(2):62-630, Dec 989. [7] Norman P. Jouppi. Tradeoffs in he design of he muliian cpu. n Proc. of he n. Symp on Compuer Archiecure, pages , 989. [8] Norman P. Jouppi. mproving direc-mapped cache performance by he addiion of a small fully-associaive cache and prefech buffers. n Proc. of he n. Symp. on Compuer Archiecure, pages , 990. [9] Lishing Liu. Parial address direcory for cache access. EEE rans. on Very Large Scale negraion Sysems, Vol. 2(2): , Jun 994. [0] Kunle Olukoun, Trevor Mudge, and Richard Brown. Performance opimizaion of pipelined primary caches. n Proc. of he n. Symp. on Compuer Archiecure, pages 8 90, 992, [] Seven A. Przybylski, Mark Horowiz, and John Hennessy. Performance radeoffs in cache design. n Proc. of he n. Symp on Compuer Archzecw-e, pages , 988. [2] Andre Seznec. DASC cache. n Proc. of he id n. Symp on High-Performance Compuer Archiecure, pages 34 43, Jan 995. [3] Alan Jay Smih. Cache memories. Compuing Sw-veis, Vol. 4(3): , Sep 982. [4] Kimming So and Rudolph N. Rechschaffen. Cache operaions by MRU change. EEE rans. on Compuers, Vol. 37(6): , Jun 988. [5] O. Temam and Y. Jegou. Using virual lines o enhance localiy exploiaion. n Proc. oj he d. Conf. on Supercompuing, pages 2,

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II CS 152 Compuer Archiecure and Engineering Lecure 7 - Memory Hierarchy-II Krse Asanovic Elecrical Engineering and Compuer Sciences Universiy of California a Berkeley hp://www.eecs.berkeley.edu/~krse hp://ins.eecs.berkeley.edu/~cs152

More information

Implementing Ray Casting in Tetrahedral Meshes with Programmable Graphics Hardware (Technical Report)

Implementing Ray Casting in Tetrahedral Meshes with Programmable Graphics Hardware (Technical Report) Implemening Ray Casing in Terahedral Meshes wih Programmable Graphics Hardware (Technical Repor) Marin Kraus, Thomas Erl March 28, 2002 1 Inroducion Alhough cell-projecion, e.g., [3, 2], and resampling,

More information

Sam knows that his MP3 player has 40% of its battery life left and that the battery charges by an additional 12 percentage points every 15 minutes.

Sam knows that his MP3 player has 40% of its battery life left and that the battery charges by an additional 12 percentage points every 15 minutes. 8.F Baery Charging Task Sam wans o ake his MP3 player and his video game player on a car rip. An hour before hey plan o leave, he realized ha he forgo o charge he baeries las nigh. A ha poin, he plugged

More information

FIELD PROGRAMMABLE GATE ARRAY (FPGA) AS A NEW APPROACH TO IMPLEMENT THE CHAOTIC GENERATORS

FIELD PROGRAMMABLE GATE ARRAY (FPGA) AS A NEW APPROACH TO IMPLEMENT THE CHAOTIC GENERATORS FIELD PROGRAMMABLE GATE ARRAY (FPGA) AS A NEW APPROACH TO IMPLEMENT THE CHAOTIC GENERATORS Mohammed A. Aseeri and M. I. Sobhy Deparmen of Elecronics, The Universiy of Ken a Canerbury Canerbury, Ken, CT2

More information

CS 152 Computer Architecture and Engineering. Lecture 6 - Memory

CS 152 Computer Architecture and Engineering. Lecture 6 - Memory CS 152 Compuer Archiecure and Engineering Lecure 6 - Memory Krse Asanovic Elecrical Engineering and Compuer Sciences Universiy of California a Berkeley hp://www.eecs.berkeley.edu/~krse hp://ins.eecs.berkeley.edu/~cs152

More information

Network management and QoS provisioning - QoS in Frame Relay. . packet switching with virtual circuit service (virtual circuits are bidirectional);

Network management and QoS provisioning - QoS in Frame Relay. . packet switching with virtual circuit service (virtual circuits are bidirectional); QoS in Frame Relay Frame relay characerisics are:. packe swiching wih virual circui service (virual circuis are bidirecional);. labels are called DLCI (Daa Link Connecion Idenifier);. for connecion is

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 06 Using FSMs Review: Typical Controller: state

Outline. EECS Components and Design Techniques for Digital Systems. Lec 06 Using FSMs Review: Typical Controller: state Ouline EECS 5 - Componens and Design Techniques for Digial Sysems Lec 6 Using FSMs 9-3-7 Review FSMs Mapping o FPGAs Typical uses of FSMs Synchronous Seq. Circuis safe composiion Timing FSMs in verilog

More information

Coded Caching with Multiple File Requests

Coded Caching with Multiple File Requests Coded Caching wih Muliple File Requess Yi-Peng Wei Sennur Ulukus Deparmen of Elecrical and Compuer Engineering Universiy of Maryland College Park, MD 20742 ypwei@umd.edu ulukus@umd.edu Absrac We sudy a

More information

An Adaptive Spatial Depth Filter for 3D Rendering IP

An Adaptive Spatial Depth Filter for 3D Rendering IP JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.3, NO. 4, DECEMBER, 23 175 An Adapive Spaial Deph Filer for 3D Rendering IP Chang-Hyo Yu and Lee-Sup Kim Absrac In his paper, we presen a new mehod

More information

MOBILE COMPUTING 3/18/18. Wi-Fi IEEE. CSE 40814/60814 Spring 2018

MOBILE COMPUTING 3/18/18. Wi-Fi IEEE. CSE 40814/60814 Spring 2018 MOBILE COMPUTING CSE 40814/60814 Spring 2018 Wi-Fi Wi-Fi: name is NOT an abbreviaion play on Hi-Fi (high fideliy) Wireless Local Area Nework (WLAN) echnology WLAN and Wi-Fi ofen used synonymous Typically

More information

MOBILE COMPUTING. Wi-Fi 9/20/15. CSE 40814/60814 Fall Wi-Fi:

MOBILE COMPUTING. Wi-Fi 9/20/15. CSE 40814/60814 Fall Wi-Fi: MOBILE COMPUTING CSE 40814/60814 Fall 2015 Wi-Fi Wi-Fi: name is NOT an abbreviaion play on Hi-Fi (high fideliy) Wireless Local Area Nework (WLAN) echnology WLAN and Wi-Fi ofen used synonymous Typically

More information

Utility-Based Hybrid Memory Management

Utility-Based Hybrid Memory Management Uiliy-Based Hybrid Memory Managemen Yang Li Saugaa Ghose Jongmoo Choi Jin Sun Hui Wang Onur Mulu Carnegie Mellon Universiy Dankook Universiy Beihang Universiy ETH Zürich While he memory fooprins of cloud

More information

4. Minimax and planning problems

4. Minimax and planning problems CS/ECE/ISyE 524 Inroducion o Opimizaion Spring 2017 18 4. Minima and planning problems ˆ Opimizing piecewise linear funcions ˆ Minima problems ˆ Eample: Chebyshev cener ˆ Muli-period planning problems

More information

Design Alternatives for a Thin Lens Spatial Integrator Array

Design Alternatives for a Thin Lens Spatial Integrator Array Egyp. J. Solids, Vol. (7), No. (), (004) 75 Design Alernaives for a Thin Lens Spaial Inegraor Array Hala Kamal *, Daniel V azquez and Javier Alda and E. Bernabeu Opics Deparmen. Universiy Compluense of

More information

The Impact of Product Development on the Lifecycle of Defects

The Impact of Product Development on the Lifecycle of Defects The Impac of Produc Developmen on he Lifecycle of Rudolf Ramler Sofware Compeence Cener Hagenberg Sofware Park 21 A-4232 Hagenberg, Ausria +43 7236 3343 872 rudolf.ramler@scch.a ABSTRACT This paper invesigaes

More information

Learning in Games via Opponent Strategy Estimation and Policy Search

Learning in Games via Opponent Strategy Estimation and Policy Search Learning in Games via Opponen Sraegy Esimaion and Policy Search Yavar Naddaf Deparmen of Compuer Science Universiy of Briish Columbia Vancouver, BC yavar@naddaf.name Nando de Freias (Supervisor) Deparmen

More information

PART 1 REFERENCE INFORMATION CONTROL DATA 6400 SYSTEMS CENTRAL PROCESSOR MONITOR

PART 1 REFERENCE INFORMATION CONTROL DATA 6400 SYSTEMS CENTRAL PROCESSOR MONITOR . ~ PART 1 c 0 \,).,,.,, REFERENCE NFORMATON CONTROL DATA 6400 SYSTEMS CENTRAL PROCESSOR MONTOR n CONTROL DATA 6400 Compuer Sysems, sysem funcions are normally handled by he Monior locaed in a Peripheral

More information

4 Error Control. 4.1 Issues with Reliable Protocols

4 Error Control. 4.1 Issues with Reliable Protocols 4 Error Conrol Jus abou all communicaion sysems aemp o ensure ha he daa ges o he oher end of he link wihou errors. Since i s impossible o build an error-free physical layer (alhough some shor links can

More information

A time-space consistency solution for hardware-in-the-loop simulation system

A time-space consistency solution for hardware-in-the-loop simulation system Inernaional Conference on Advanced Elecronic Science and Technology (AEST 206) A ime-space consisency soluion for hardware-in-he-loop simulaion sysem Zexin Jiang a Elecric Power Research Insiue of Guangdong

More information

COSC 3213: Computer Networks I Chapter 6 Handout # 7

COSC 3213: Computer Networks I Chapter 6 Handout # 7 COSC 3213: Compuer Neworks I Chaper 6 Handou # 7 Insrucor: Dr. Marvin Mandelbaum Deparmen of Compuer Science York Universiy F05 Secion A Medium Access Conrol (MAC) Topics: 1. Muliple Access Communicaions:

More information

CS 152 Computer Architecture and Engineering. Lecture 6 - Memory

CS 152 Computer Architecture and Engineering. Lecture 6 - Memory CS 152 Compuer Archiecure and Engineering Lecure 6 - Memory Krse Asanovic Elecrical Engineering and Compuer Sciences Universiy of California a Berkeley hp://www.eecs.berkeley.edu/~krse hp://ins.eecs.berkeley.edu/~cs152

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab CMOS INEGRAED CIRCUI DESIGN ECHNIQUES Universiy of Ioannina Clocking Schemes Dep. of Compuer Science and Engineering Y. siaouhas CMOS Inegraed Circui Design echniques Overview 1. Jier Skew hroughpu Laency

More information

Michiel Helder and Marielle C.T.A Geurts. Hoofdkantoor PTT Post / Dutch Postal Services Headquarters

Michiel Helder and Marielle C.T.A Geurts. Hoofdkantoor PTT Post / Dutch Postal Services Headquarters SHORT TERM PREDICTIONS A MONITORING SYSTEM by Michiel Helder and Marielle C.T.A Geurs Hoofdkanoor PTT Pos / Duch Posal Services Headquarers Keywords macro ime series shor erm predicions ARIMA-models faciliy

More information

STEREO PLANE MATCHING TECHNIQUE

STEREO PLANE MATCHING TECHNIQUE STEREO PLANE MATCHING TECHNIQUE Commission III KEY WORDS: Sereo Maching, Surface Modeling, Projecive Transformaion, Homography ABSTRACT: This paper presens a new ype of sereo maching algorihm called Sereo

More information

Image segmentation. Motivation. Objective. Definitions. A classification of segmentation techniques. Assumptions for thresholding

Image segmentation. Motivation. Objective. Definitions. A classification of segmentation techniques. Assumptions for thresholding Moivaion Image segmenaion Which pixels belong o he same objec in an image/video sequence? (spaial segmenaion) Which frames belong o he same video sho? (emporal segmenaion) Which frames belong o he same

More information

Chapter 4 Sequential Instructions

Chapter 4 Sequential Instructions Chaper 4 Sequenial Insrucions The sequenial insrucions of FBs-PLC shown in his chaper are also lised in secion 3.. Please refer o Chaper, "PLC Ladder diagram and he Coding rules of Mnemonic insrucion",

More information

A Matching Algorithm for Content-Based Image Retrieval

A Matching Algorithm for Content-Based Image Retrieval A Maching Algorihm for Conen-Based Image Rerieval Sue J. Cho Deparmen of Compuer Science Seoul Naional Universiy Seoul, Korea Absrac Conen-based image rerieval sysem rerieves an image from a daabase using

More information

Quick Verification of Concurrent Programs by Iteratively Relaxed Scheduling

Quick Verification of Concurrent Programs by Iteratively Relaxed Scheduling Quick Verificaion of Concurren Programs by Ieraively Relaxed Scheduling Parick Mezler, Habib Saissi, Péer Bokor, Neeraj Suri Technische Univerisä Darmsad, Germany {mezler, saissi, pbokor, suri}@deeds.informaik.u-darmsad.de

More information

Packet Scheduling in a Low-Latency Optical Interconnect with Electronic Buffers

Packet Scheduling in a Low-Latency Optical Interconnect with Electronic Buffers Packe cheduling in a Low-Laency Opical Inerconnec wih Elecronic Buffers Lin Liu Zhenghao Zhang Yuanyuan Yang Dep Elecrical & Compuer Engineering Compuer cience Deparmen Dep Elecrical & Compuer Engineering

More information

CAMERA CALIBRATION BY REGISTRATION STEREO RECONSTRUCTION TO 3D MODEL

CAMERA CALIBRATION BY REGISTRATION STEREO RECONSTRUCTION TO 3D MODEL CAMERA CALIBRATION BY REGISTRATION STEREO RECONSTRUCTION TO 3D MODEL Klečka Jan Docoral Degree Programme (1), FEEC BUT E-mail: xkleck01@sud.feec.vubr.cz Supervised by: Horák Karel E-mail: horak@feec.vubr.cz

More information

EECS 487: Interactive Computer Graphics

EECS 487: Interactive Computer Graphics EECS 487: Ineracive Compuer Graphics Lecure 7: B-splines curves Raional Bézier and NURBS Cubic Splines A represenaion of cubic spline consiss of: four conrol poins (why four?) hese are compleely user specified

More information

Assignment 2. Due Monday Feb. 12, 10:00pm.

Assignment 2. Due Monday Feb. 12, 10:00pm. Faculy of rs and Science Universiy of Torono CSC 358 - Inroducion o Compuer Neworks, Winer 218, LEC11 ssignmen 2 Due Monday Feb. 12, 1:pm. 1 Quesion 1 (2 Poins): Go-ack n RQ In his quesion, we review how

More information

Scheduling. Scheduling. EDA421/DIT171 - Parallel and Distributed Real-Time Systems, Chalmers/GU, 2011/2012 Lecture #4 Updated March 16, 2012

Scheduling. Scheduling. EDA421/DIT171 - Parallel and Distributed Real-Time Systems, Chalmers/GU, 2011/2012 Lecture #4 Updated March 16, 2012 EDA421/DIT171 - Parallel and Disribued Real-Time Sysems, Chalmers/GU, 2011/2012 Lecure #4 Updaed March 16, 2012 Aemps o mee applicaion consrains should be done in a proacive way hrough scheduling. Schedule

More information

MATH Differential Equations September 15, 2008 Project 1, Fall 2008 Due: September 24, 2008

MATH Differential Equations September 15, 2008 Project 1, Fall 2008 Due: September 24, 2008 MATH 5 - Differenial Equaions Sepember 15, 8 Projec 1, Fall 8 Due: Sepember 4, 8 Lab 1.3 - Logisics Populaion Models wih Harvesing For his projec we consider lab 1.3 of Differenial Equaions pages 146 o

More information

User Adjustable Process Scheduling Mechanism for a Multiprocessor Embedded System

User Adjustable Process Scheduling Mechanism for a Multiprocessor Embedded System Proceedings of he 6h WSEAS Inernaional Conference on Applied Compuer Science, Tenerife, Canary Islands, Spain, December 16-18, 2006 346 User Adjusable Process Scheduling Mechanism for a Muliprocessor Embedded

More information

C 1. Last Time. CSE 490/590 Computer Architecture. Cache I. Branch Delay Slots (expose control hazard to software)

C 1. Last Time. CSE 490/590 Computer Architecture. Cache I. Branch Delay Slots (expose control hazard to software) CSE 490/590 Compuer Archiecure Cache I Seve Ko Compuer Sciences and Engineering Universiy a Buffalo Las Time Pipelining hazards Srucural hazards hazards Conrol hazards hazards Sall Bypass Conrol hazards

More information

Dimmer time switch AlphaLux³ D / 27

Dimmer time switch AlphaLux³ D / 27 Dimmer ime swich AlphaLux³ D2 426 26 / 27! Safey noes This produc should be insalled in line wih insallaion rules, preferably by a qualified elecrician. Incorrec insallaion and use can lead o risk of elecric

More information

Chapter 8 LOCATION SERVICES

Chapter 8 LOCATION SERVICES Disribued Compuing Group Chaper 8 LOCATION SERVICES Mobile Compuing Winer 2005 / 2006 Overview Mobile IP Moivaion Daa ransfer Encapsulaion Locaion Services & Rouing Classificaion of locaion services Home

More information

Automatic Calculation of Coverage Profiles for Coverage-based Testing

Automatic Calculation of Coverage Profiles for Coverage-based Testing Auomaic Calculaion of Coverage Profiles for Coverage-based Tesing Raimund Kirner 1 and Waler Haas 1 Vienna Universiy of Technology, Insiue of Compuer Engineering, Vienna, Ausria, raimund@vmars.uwien.ac.a

More information

MORPHOLOGICAL SEGMENTATION OF IMAGE SEQUENCES

MORPHOLOGICAL SEGMENTATION OF IMAGE SEQUENCES MORPHOLOGICAL SEGMENTATION OF IMAGE SEQUENCES B. MARCOTEGUI and F. MEYER Ecole des Mines de Paris, Cenre de Morphologie Mahémaique, 35, rue Sain-Honoré, F 77305 Fonainebleau Cedex, France Absrac. In image

More information

Analysis of Various Types of Bugs in the Object Oriented Java Script Language Coding

Analysis of Various Types of Bugs in the Object Oriented Java Script Language Coding Indian Journal of Science and Technology, Vol 8(21), DOI: 10.17485/ijs/2015/v8i21/69958, Sepember 2015 ISSN (Prin) : 0974-6846 ISSN (Online) : 0974-5645 Analysis of Various Types of Bugs in he Objec Oriened

More information

Moving Object Detection Using MRF Model and Entropy based Adaptive Thresholding

Moving Object Detection Using MRF Model and Entropy based Adaptive Thresholding Moving Objec Deecion Using MRF Model and Enropy based Adapive Thresholding Badri Narayan Subudhi, Pradipa Kumar Nanda and Ashish Ghosh Machine Inelligence Uni, Indian Saisical Insiue, Kolkaa, 700108, India,

More information

CENG 477 Introduction to Computer Graphics. Modeling Transformations

CENG 477 Introduction to Computer Graphics. Modeling Transformations CENG 477 Inroducion o Compuer Graphics Modeling Transformaions Modeling Transformaions Model coordinaes o World coordinaes: Model coordinaes: All shapes wih heir local coordinaes and sies. world World

More information

An efficient approach to improve throughput for TCP vegas in ad hoc network

An efficient approach to improve throughput for TCP vegas in ad hoc network Inernaional Research Journal of Engineering and Technology (IRJET) e-issn: 395-0056 Volume: 0 Issue: 03 June-05 www.irje.ne p-issn: 395-007 An efficien approach o improve hroughpu for TCP vegas in ad hoc

More information

A Progressive-ILP Based Routing Algorithm for Cross-Referencing Biochips

A Progressive-ILP Based Routing Algorithm for Cross-Referencing Biochips 16.3 A Progressive-ILP Based Rouing Algorihm for Cross-Referencing Biochips Ping-Hung Yuh 1, Sachin Sapanekar 2, Chia-Lin Yang 1, Yao-Wen Chang 3 1 Deparmen of Compuer Science and Informaion Engineering,

More information

MIC2569. Features. General Description. Applications. Typical Application. CableCARD Power Switch

MIC2569. Features. General Description. Applications. Typical Application. CableCARD Power Switch CableCARD Power Swich General Descripion is designed o supply power o OpenCable sysems and CableCARD hoss. These CableCARDs are also known as Poin of Disribuion (POD) cards. suppors boh Single and Muliple

More information

MB86297A Carmine Timing Analysis of the DDR Interface

MB86297A Carmine Timing Analysis of the DDR Interface Applicaion Noe MB86297A Carmine Timing Analysis of he DDR Inerface Fujisu Microelecronics Europe GmbH Hisory Dae Auhor Version Commen 05.02.2008 Anders Ramdahl 0.01 Firs draf 06.02.2008 Anders Ramdahl

More information

/85/ $ IEEE

/85/ $ IEEE 174 Saged Circui Swiching MAURICIO ARANGO, HUSSEIN BADR, AND DAVID GELERNTER Absrac -Saged circui swiching (S) is a message-swiching echnique ha combines a new proocol wih new communicaion hardware. Proocol

More information

Definition and examples of time series

Definition and examples of time series Definiion and examples of ime series A ime series is a sequence of daa poins being recorded a specific imes. Formally, le,,p be a probabiliy space, and T an index se. A real valued sochasic process is

More information

An Improved Square-Root Nyquist Shaping Filter

An Improved Square-Root Nyquist Shaping Filter An Improved Square-Roo Nyquis Shaping Filer fred harris San Diego Sae Universiy fred.harris@sdsu.edu Sridhar Seshagiri San Diego Sae Universiy Seshigar.@engineering.sdsu.edu Chris Dick Xilinx Corp. chris.dick@xilinx.com

More information

4.1 3D GEOMETRIC TRANSFORMATIONS

4.1 3D GEOMETRIC TRANSFORMATIONS MODULE IV MCA - 3 COMPUTER GRAPHICS ADMN 29- Dep. of Compuer Science And Applicaions, SJCET, Palai 94 4. 3D GEOMETRIC TRANSFORMATIONS Mehods for geomeric ransformaions and objec modeling in hree dimensions

More information

Less Pessimistic Worst-Case Delay Analysis for Packet-Switched Networks

Less Pessimistic Worst-Case Delay Analysis for Packet-Switched Networks Less Pessimisic Wors-Case Delay Analysis for Packe-Swiched Neworks Maias Wecksén Cenre for Research on Embedded Sysems P O Box 823 SE-31 18 Halmsad maias.wecksen@hh.se Magnus Jonsson Cenre for Research

More information

Lecture 18: Mix net Voting Systems

Lecture 18: Mix net Voting Systems 6.897: Advanced Topics in Crypography Apr 9, 2004 Lecure 18: Mix ne Voing Sysems Scribed by: Yael Tauman Kalai 1 Inroducion In he previous lecure, we defined he noion of an elecronic voing sysem, and specified

More information

Improving the Efficiency of Dynamic Service Provisioning in Transport Networks with Scheduled Services

Improving the Efficiency of Dynamic Service Provisioning in Transport Networks with Scheduled Services Improving he Efficiency of Dynamic Service Provisioning in Transpor Neworks wih Scheduled Services Ralf Hülsermann, Monika Jäger and Andreas Gladisch Technologiezenrum, T-Sysems, Goslarer Ufer 35, D-1585

More information

A Hardware Implementation of the Compact Genetic Algorithm

A Hardware Implementation of the Compact Genetic Algorithm A Hardware Implemenaion of he Compac Geneic Algorihm Chachawi Apornewan Deparmen of Compuer Engineering Faculy of Engineering, Chulalongkorn Universiy Bangkok 0330, Thailand 437043@chula.ac.h Prabhas Chongsivaana

More information

Partition-based document identifier assignment (PBDIA) algorithm. (long queries)

Partition-based document identifier assignment (PBDIA) algorithm. (long queries) ( ) Pariion-based documen idenifier assignmen (PBDIA) algorihm PBDIA (long queries) (parallel IR) :,,,, d-gap Compressing an invered file can grealy improve query performance of an informaion rerieval

More information

A Tool for Multi-Hour ATM Network Design considering Mixed Peer-to-Peer and Client-Server based Services

A Tool for Multi-Hour ATM Network Design considering Mixed Peer-to-Peer and Client-Server based Services A Tool for Muli-Hour ATM Nework Design considering Mied Peer-o-Peer and Clien-Server based Services Conac Auhor Name: Luis Cardoso Company / Organizaion: Porugal Telecom Inovação Complee Mailing Address:

More information

Using CANopen Slave Driver

Using CANopen Slave Driver CAN Bus User Manual Using CANopen Slave Driver V1. Table of Conens 1. SDO Communicaion... 1 2. PDO Communicaion... 1 3. TPDO Reading and RPDO Wriing... 2 4. RPDO Reading... 3 5. CANopen Communicaion Parameer

More information

Shortest Path Algorithms. Lecture I: Shortest Path Algorithms. Example. Graphs and Matrices. Setting: Dr Kieran T. Herley.

Shortest Path Algorithms. Lecture I: Shortest Path Algorithms. Example. Graphs and Matrices. Setting: Dr Kieran T. Herley. Shores Pah Algorihms Background Seing: Lecure I: Shores Pah Algorihms Dr Kieran T. Herle Deparmen of Compuer Science Universi College Cork Ocober 201 direced graph, real edge weighs Le he lengh of a pah

More information

Distributed Task Negotiation in Modular Robots

Distributed Task Negotiation in Modular Robots Disribued Task Negoiaion in Modular Robos Behnam Salemi, eer Will, and Wei-Min Shen USC Informaion Sciences Insiue and Compuer Science Deparmen Marina del Rey, USA, {salemi, will, shen}@isi.edu Inroducion

More information

Motor Control. 5. Control. Motor Control. Motor Control

Motor Control. 5. Control. Motor Control. Motor Control 5. Conrol In his chaper we will do: Feedback Conrol On/Off Conroller PID Conroller Moor Conrol Why use conrol a all? Correc or wrong? Supplying a cerain volage / pulsewidh will make he moor spin a a cerain

More information

Fast Real-Time LIDAR Processing on FPGAs

Fast Real-Time LIDAR Processing on FPGAs Fas Real-Time LIDAR Processing on FPGAs K. Shih, A. Balachandran, K. Nagarajan, B. Holland, C. Slaon, A. George NSF Cener for High-Performance Reconfigurable Compuing (CHREC) Deparmen of Elecrical and

More information

Video Content Description Using Fuzzy Spatio-Temporal Relations

Video Content Description Using Fuzzy Spatio-Temporal Relations Proceedings of he 4s Hawaii Inernaional Conference on Sysem Sciences - 008 Video Conen Descripion Using Fuzzy Spaio-Temporal Relaions rchana M. Rajurkar *, R.C. Joshi and Sananu Chaudhary 3 Dep of Compuer

More information

Parallel and Distributed Systems for Constructive Neural Network Learning*

Parallel and Distributed Systems for Constructive Neural Network Learning* Parallel and Disribued Sysems for Consrucive Neural Nework Learning* J. Flecher Z. Obradovi School of Elecrical Engineering and Compuer Science Washingon Sae Universiy Pullman WA 99164-2752 Absrac A consrucive

More information

AUTOMATIC 3D FACE REGISTRATION WITHOUT INITIALIZATION

AUTOMATIC 3D FACE REGISTRATION WITHOUT INITIALIZATION Chaper 3 AUTOMATIC 3D FACE REGISTRATION WITHOUT INITIALIZATION A. Koschan, V. R. Ayyagari, F. Boughorbel, and M. A. Abidi Imaging, Roboics, and Inelligen Sysems Laboraory, The Universiy of Tennessee, 334

More information

A Routing Algorithm for Flip-Chip Design

A Routing Algorithm for Flip-Chip Design A Rouing Algorihm for Flip-hip Design Jia-Wei Fang, I-Jye Lin, and Yao-Wen hang, Graduae Insiue of Elecronics Engineering, Naional Taiwan Universiy, Taipei Deparmen of Elecrical Engineering, Naional Taiwan

More information

Audio Engineering Society. Convention Paper. Presented at the 119th Convention 2005 October 7 10 New York, New York USA

Audio Engineering Society. Convention Paper. Presented at the 119th Convention 2005 October 7 10 New York, New York USA Audio Engineering Sociey Convenion Paper Presened a he 119h Convenion 2005 Ocober 7 10 New Yor, New Yor USA This convenion paper has been reproduced from he auhor's advance manuscrip, wihou ediing, correcions,

More information

source managemen, naming, proecion, and service provisions. This paper concenraes on he basic processor scheduling aspecs of resource managemen. 2 The

source managemen, naming, proecion, and service provisions. This paper concenraes on he basic processor scheduling aspecs of resource managemen. 2 The Virual Compuers A New Paradigm for Disribued Operaing Sysems Banu Ozden y Aaron J. Goldberg Avi Silberschaz z 600 Mounain Ave. AT&T Bell Laboraories Murray Hill, NJ 07974 Absrac The virual compuers (VC)

More information

Connections, displays and operating elements. Status LEDs (next to the keys)

Connections, displays and operating elements. Status LEDs (next to the keys) GB Connecions, displays and operaing elemens A Push-buon plus Sysem M Operaing insrucions 1 2 1 2 3 4 5 6 7 8 C B A 4 Inser he bus erminal ino he connecion of pushbuon A. 5 Inser he push-buon ino he frame.

More information

Nonparametric CUSUM Charts for Process Variability

Nonparametric CUSUM Charts for Process Variability Journal of Academia and Indusrial Research (JAIR) Volume 3, Issue June 4 53 REEARCH ARTICLE IN: 78-53 Nonparameric CUUM Chars for Process Variabiliy D.M. Zombade and V.B. Ghue * Dep. of aisics, Walchand

More information

A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER

A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER ABSTRACT Modern graphics cards for compuers, and especially heir graphics processing unis (GPUs), are designed for fas rendering of graphics.

More information

Voltair Version 2.5 Release Notes (January, 2018)

Voltair Version 2.5 Release Notes (January, 2018) Volair Version 2.5 Release Noes (January, 2018) Inroducion 25-Seven s new Firmware Updae 2.5 for he Volair processor is par of our coninuing effors o improve Volair wih new feaures and capabiliies. For

More information

Performance Evaluation of Implementing Calls Prioritization with Different Queuing Disciplines in Mobile Wireless Networks

Performance Evaluation of Implementing Calls Prioritization with Different Queuing Disciplines in Mobile Wireless Networks Journal of Compuer Science 2 (5): 466-472, 2006 ISSN 1549-3636 2006 Science Publicaions Performance Evaluaion of Implemening Calls Prioriizaion wih Differen Queuing Disciplines in Mobile Wireless Neworks

More information

LHP: An end-to-end reliable transport protocol over wireless data networks

LHP: An end-to-end reliable transport protocol over wireless data networks LHP: An end-o-end reliable ranspor proocol over wireless daa neworks Xia Gao, Suhas N. Diggavi, S. Muhukrishnan Absrac The nex generaion wireless neworks are posied o suppor large scale daa applicaions.

More information

V103 TRIPLE 10-BIT LVDS TRANSMITTER FOR VIDEO. General Description. Features. Block Diagram

V103 TRIPLE 10-BIT LVDS TRANSMITTER FOR VIDEO. General Description. Features. Block Diagram General Descripion The V103 LVDS display inerface ransmier is primarily designed o suppor pixel daa ransmission beween a video processing engine and a digial video display. The daa rae suppors up o SXGA+

More information

Connections, displays and operating elements. 3 aux. 5 aux.

Connections, displays and operating elements. 3 aux. 5 aux. Taser PlusKapiel3:Taser3.1Taser Plus Meren2005V6280-561-0001/08 GB Connecions, displays and operaing elemens Taser Plus Arec/Anik/Trancen Operaing insrucions A 1 2 1 2 3 4 5 6 C B A B 3 aux. 7 8 9 aux.

More information

Difficulty-aware Hybrid Search in Peer-to-Peer Networks

Difficulty-aware Hybrid Search in Peer-to-Peer Networks Difficuly-aware Hybrid Search in Peer-o-Peer Neworks Hanhua Chen, Hai Jin, Yunhao Liu, Lionel M. Ni School of Compuer Science and Technology Huazhong Univ. of Science and Technology {chenhanhua, hjin}@hus.edu.cn

More information

Announcements. TCP Congestion Control. Goals of Today s Lecture. State Diagrams. TCP State Diagram

Announcements. TCP Congestion Control. Goals of Today s Lecture. State Diagrams. TCP State Diagram nnouncemens TCP Congesion Conrol Projec #3 should be ou onigh Can do individual or in a eam of 2 people Firs phase due November 16 - no slip days Exercise good (beer) ime managemen EE 122: Inro o Communicaion

More information

Rule-Based Multi-Query Optimization

Rule-Based Multi-Query Optimization Rule-Based Muli-Query Opimizaion Mingsheng Hong Dep. of Compuer cience Cornell Universiy mshong@cs.cornell.edu Johannes Gehrke Dep. of Compuer cience Cornell Universiy johannes@cs.cornell.edu Mirek Riedewald

More information

STRING DESCRIPTIONS OF DATA FOR DISPLAY*

STRING DESCRIPTIONS OF DATA FOR DISPLAY* SLAC-PUB-383 January 1968 STRING DESCRIPTIONS OF DATA FOR DISPLAY* J. E. George and W. F. Miller Compuer Science Deparmen and Sanford Linear Acceleraor Cener Sanford Universiy Sanford, California Absrac

More information

Test - Accredited Configuration Engineer (ACE) Exam - PAN-OS 6.0 Version

Test - Accredited Configuration Engineer (ACE) Exam - PAN-OS 6.0 Version Tes - Accredied Configuraion Engineer (ACE) Exam - PAN-OS 6.0 Version ACE Exam Quesion 1 of 50. Which of he following saemens is NOT abou Palo Alo Neworks firewalls? Sysem defauls may be resored by performing

More information

Delayed reservation decision in optical burst switching networks with optical buffers. Title. Li, GM; Li, VOK; Li, CY; Wai, PKA

Delayed reservation decision in optical burst switching networks with optical buffers. Title. Li, GM; Li, VOK; Li, CY; Wai, PKA Tile Delayed reservaion decision in opical burs swiching neworks wih opical buffers Auhor(s) Li, GM; Li, VOK; Li, CY; Wai, PKA Ciaion The 3rd nernaional Conference on Communicaions and Neworking in China

More information

Evaluation and Improvement of Region-based Motion Segmentation

Evaluation and Improvement of Region-based Motion Segmentation Evaluaion and Improvemen of Region-based Moion Segmenaion Mark Ross Universiy Koblenz-Landau, Insiue of Compuaional Visualisics, Universiässraße 1, 56070 Koblenz, Germany Email: ross@uni-koblenz.de Absrac

More information

A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER

A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER A GRAPHICS PROCESSING UNIT IMPLEMENTATION OF THE PARTICLE FILTER Gusaf Hendeby, Jeroen D. Hol, Rickard Karlsson, Fredrik Gusafsson Deparmen of Elecrical Engineering Auomaic Conrol Linköping Universiy,

More information

MOTION DETECTORS GRAPH MATCHING LAB PRE-LAB QUESTIONS

MOTION DETECTORS GRAPH MATCHING LAB PRE-LAB QUESTIONS NME: TE: LOK: MOTION ETETORS GRPH MTHING L PRE-L QUESTIONS 1. Read he insrucions, and answer he following quesions. Make sure you resae he quesion so I don hae o read he quesion o undersand he answer..

More information

BI-TEMPORAL INDEXING

BI-TEMPORAL INDEXING BI-TEMPORAL INDEXING Mirella M. Moro Uniersidade Federal do Rio Grande do Sul Poro Alegre, RS, Brazil hp://www.inf.ufrgs.br/~mirella/ Vassilis J. Tsoras Uniersiy of California, Rierside Rierside, CA 92521,

More information

Simple Network Management Based on PHP and SNMP

Simple Network Management Based on PHP and SNMP Simple Nework Managemen Based on PHP and SNMP Krasimir Trichkov, Elisavea Trichkova bsrac: This paper aims o presen simple mehod for nework managemen based on SNMP - managemen of Cisco rouer. The paper

More information

This is the published version of a paper presented at The 2013 IEEE International Conference on Internet of Things, Beijing, China, August 2013.

This is the published version of a paper presented at The 2013 IEEE International Conference on Internet of Things, Beijing, China, August 2013. hp://www.diva-poral.org This is he published version of a paper presened a The 2013 IEEE Inernaional Conference on Inerne of Things, Beijing, China, 20-23 Augus 2013. Ciaion for he original published paper:

More information

IDEF3 Process Description Capture Method

IDEF3 Process Description Capture Method IDEF3 Process Descripion Capure Mehod IDEF3 is par of he IDEF family of mehods developmen funded by he US Air Force o provide modelling suppor for sysems engineering and enerprise inegraion 2 IDEF3 Mehod

More information

Algorithm for image reconstruction in multi-slice helical CT

Algorithm for image reconstruction in multi-slice helical CT Algorihm for image reconsrucion in muli-slice helical CT Kasuyuki Taguchi a) and Hiroshi Aradae Medical Engineering Laboraory, Toshiba Corporaion, 1385 Shimoishigami, Oawara, Tochigi 324-855, Japan Received

More information

Elite Acoustics Engineering A4-8 Live-Performance Studio Monitor with 4 Channels, Mixer, Effects, and Bluetooth Quick Start Guide

Elite Acoustics Engineering A4-8 Live-Performance Studio Monitor with 4 Channels, Mixer, Effects, and Bluetooth Quick Start Guide Elie Acousics Engineering A4-8 Live-Performance Sudio Monior wih 4 Channels, Mixer, Effecs, and Blueooh Quick Sar Guide WHAT IS IN THE BOX Your A4-8 package conains he following: (1) Speaker (1) 12V AC

More information

NRMI: Natural and Efficient Middleware

NRMI: Natural and Efficient Middleware NRMI: Naural and Efficien Middleware Eli Tilevich and Yannis Smaragdakis Cener for Experimenal Research in Compuer Sysems (CERCS), College of Compuing, Georgia Tech {ilevich, yannis}@cc.gaech.edu Absrac

More information

A Formalization of Ray Casting Optimization Techniques

A Formalization of Ray Casting Optimization Techniques A Formalizaion of Ray Casing Opimizaion Techniques J. Revelles, C. Ureña Dp. Lenguajes y Sisemas Informáicos, E.T.S.I. Informáica, Universiy of Granada, Spain e-mail: [jrevelle,almagro]@ugr.es URL: hp://giig.ugr.es

More information

Po,,ll. I Appll I APP2 I I App3 I. Illll Illlllll II Illlll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illlll Illl Illl Illl

Po,,ll. I Appll I APP2 I I App3 I. Illll Illlllll II Illlll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illlll Illl Illl Illl Illll Illlllll II Illlll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illll Illlll Illl Illl Illl US 20110153728A1 (19) nied Saes (12) Paen Applicaion Publicaion (10) Pub. No.: S 2011/0153728

More information

Gender Classification of Faces Using Adaboost*

Gender Classification of Faces Using Adaboost* Gender Classificaion of Faces Using Adaboos* Rodrigo Verschae 1,2,3, Javier Ruiz-del-Solar 1,2, and Mauricio Correa 1,2 1 Deparmen of Elecrical Engineering, Universidad de Chile 2 Cener for Web Research,

More information

CS422 Computer Networks

CS422 Computer Networks CS422 Compuer Neworks Lecure 2 Physical Layer Dr. Xiaobo Zhou Deparmen of Compuer Science CS422 PhysicalLayer.1 Quesions of Ineress How long will i ake o ransmi a message? How many bis are in he message

More information

SEINA: A Stealthy and Effective Internal Attack in Hadoop Systems

SEINA: A Stealthy and Effective Internal Attack in Hadoop Systems SEINA: A Sealhy and Effecive Inernal Aack in Hadoop Sysems Jiayin Wang, Teng Wang, Zhengyu Yang, Ying ao, Ningfang i, and Bo Sheng Deparmen of Compuer Science, Universiy of assachuses Boson, 1 orrissey

More information

Computer representations of piecewise

Computer representations of piecewise Edior: Gabriel Taubin Inroducion o Geomeric Processing hrough Opimizaion Gabriel Taubin Brown Universiy Compuer represenaions o piecewise smooh suraces have become vial echnologies in areas ranging rom

More information

Optimal Crane Scheduling

Optimal Crane Scheduling Opimal Crane Scheduling Samid Hoda, John Hooker Laife Genc Kaya, Ben Peerson Carnegie Mellon Universiy Iiro Harjunkoski ABB Corporae Research EWO - 13 November 2007 1/16 Problem Track-mouned cranes move

More information

PCMCIA / JEIDA SRAM Card

PCMCIA / JEIDA SRAM Card Daashee PCMCIA / JEIDA SRAM Card Version 12 Preliminary Version 12 Page1 Documen Version Version Descripion Dae Edior Approved by 8 Updae 2,Apr. 2002 Greg Lin Greg Lin 9 Updae 10,Aug. 2010 Amos Chung Ken

More information