Focus On Structural Test: AC Scan

Size: px
Start display at page:

Download "Focus On Structural Test: AC Scan"

Transcription

1 Focus On Structural Test: AC Scan Alfred L. Crouch Chief Scientist Inovys Corporation

2 The DFT Equation

3 The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test Deep-Submicron/Nanometer Design New Failure Modes Massive Integration SoC Design Massive Integration Reuse Vectors Time-to-Market

4 The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test Deep-Submicron/Nanometer Design New Failure Modes Massive Integration SoC Design Massive Integration Reuse Vectors Time-to-Market

5 DSM/Nanometer Defects DSM/Nanometer Defectivity GOS in nanometer = no yield Metal Bridges = mature processes shorts to VDD/VSS overdrive/contention connections leaky connections In-Line Resistances = nanometer processes plugged vias open vias that tunnel dogbone routes

6 DSM/Nanometer Design In DSM design problem focus moved (.5u) Delay moved into route (.35) Clock skew and routing congestion (.25) Power delivery In nanometer design (180) Faults predominantly in route (130) Background leakage in tens of ma (90)??? Didn t go away they stacked up!!!

7 DSM/Nanometer Design In DSM design problem focus moved (.5u) Delay moved into route (.35) Clock skew and routing (.25) Power delivery In nanometer design (180) Faults predominantly in route (130) Background leakage in tens of ma

8 Predominant Failure Mode

9 So What is the Solution?

10 What is Structural Test? Use of fault models based on verifying the silicon structure [truth tables, interconnect, power structure, clock structure] Not Functional Test which is the use of vectors based on verifying the behavior or operation of logical functions

11 Structural Test and DFT/EDA Comment: Higher Fault Coverage in Less Structural Time with test Less is not new, Structural but has become a more prevalent test solution since it has repeatedly proven to System reduce Knowledge the vector development Vectors time as compared to traditional functional vector development. Deterministic DFT Vectors for Higher Fault Coverage, in Less Time, with Less Required System Knowledge! EDA Methods to Stuck, add Delay, Scan, LBIST, Bridging, Leakage, Tools to create MBIST, IddqMemory, logic etc. test logic and vectors

12 Scan Types of Structural Test Stuck-at/DC Delay/AC Logic Built-in Self-Test (BIST) STUMPs BILBO Memory BIST SRAM/DRAM Retention Iddq Threshold Delta-Iddq

13 Putting AC under the Magnifying Glass Scan Stuck-at/DC Delay/AC Logic Built-in Self-Test (BIST) STUMPs BILBO Memory BIST SRAM/DRAM Retention Iddq Threshold Delta-Iddq

14 What is Structural Deterministic Test? ATPG based on fault coverage AB Z A Stuck-At, Transition Delay, Path Delay, Leakage (Iddq) B A-S@1 B-S@1 Z-S@1 A-S@0 B-S@0 Z-S@0 Z

15 What is Structural Scan? Organizing flip-flops into scan shift registers Process is Scan Insertion or Scan Synthesis Flip-Flops are substituted with Scan Flip-Flops SDI and SDO scan data paths are connected serially SE is a global fanout signal similar to a reset or a clock D Q SDI D Q SDO Clk SE Clk Standard Flip-Flop Scan Flip-Flop

16 Structural Scan Implementation Organizing scan chains Single global scan chain (long skinny scan) Multiple parallel scan chains (short wide scan) Multiple separated by clock domains (scan domains)

17 What is AC Scan? Using Scan-based techniques to verify timing Frequency Determination I/O Timing Delay Fault AC Scan is conducting the sample/capture with the correct timing relationship between a state/nextstate (vector pair) is not shifting at-speed (power, over engineering) is clocked from tester or embedded PLL

18 Difference Between DC & AC Scan Clocking

19 Why Do AC Scan? AC Scan provides a deterministic AC test that can be used to replace some/all functional vector content Reduces clocking requirements (shift-slow) Eases timing diagnostics (targeted faults) Simplifies binning (deterministic/targeted faults) Vectors are portable (Core-base market) Vectors generated by ATPG (automated) Reduces functionality required of tester (cost)

20 What Does it Take to Do AC Scan? Faults, ATPG support, and Clocks Transition faults are enumerated by tools Paths come from Static Timing Analysis ATPG for AC is supported by all major players AC Scan waveforms are well understood

21 Delay AC Faults? Transition Delay/Gate Delay fault model Faults based on Slow-to-Rise or Slow-to-Fall gates or nets Transition faults are finite and enumerated by tools Similar to Stuck-at ATPG but with extra constraint Uses Stuck-at ATPG engine to generate vectors Problem: short path analysis

22 Path Delay Fault Model Delay AC Faults? Faults based on Slow-to-Rise or Slow-to-Fall on a complete described path Path faults can be viewed as a collection of transition faults Path faults are not finite and not enumerated by tools Requires Sequential or Multiple-Time-Frame ATPG Longer runtime and more complex than Stuck-AT Problems: false Paths, ATPG Complexity

23 Focus on AC Scan Path Delay Path Delay Fault Model Faults based on Slow-to-Rise or Slow-to-Fall on a complete described path Path faults can be viewed as a collection of transition faults Path faults are not finite and not enumerated by tools Requires Sequential or Multiple-Time-Frame ATPG Longer runtime and more complex than Stuck-AT Problems: false Paths, ATPG Complexity

24 Clock Sources Inside Outside All around the PLL

25 AC Scan and Clocking Two Methods to ATPG AC Tests: Last-Shift Launch (Skew Load) Requires Shift-Bit Independence Can affect scan routing negatively Can affect scan design size (dual-element) SE is critical Two-Sample (Broadside/Functional Justification) Requires ATPG Tool to do most of the work Filters non-boolean true paths Longer ATPG runtime

26 The Launch Endpoint Independent Shift-Bits The AC ATPG: Last Shift Off Path Values Cone of Logic Transition Launch No setup here The Identified Path stf The Observe Endpoint U21 No Change Here 0

27 Last-Shift Scan Operation Parallel Data 0 Scan Data Clk SE Last Launch Shift In Capture Sample Cycle First Shift Out

28 The SE Window Last Shift Pulse Width Strobe Strobe Outputs Sample Pulse Width CLK Setup Hold Hold Output Valid Setup Hold Hold Setup Setup SE SE Dependency SE Window Strobe Dependency At 200 Mhz with 50% Duty-Cycle: the SE Window Could range from 2ns down to 250ps

29 The Launch Setup Bits 1 x x 0 x 1 1 AC ATPG: 2-Sample The Launch Endpoint The Setup Cone of Logic Off Path Values The Path Cone of Logic The Identified Path from STA of gates+routes 1 Supported by all ATPG tools today The Observe Endpoint

30 ATPG/ATE Clock Limitation This is difficult for ATPG and ATE This isn t Slow Clock Slow Clock Fast Clock Fast Clock One Test Interval One Test Interval

31 2-Sample Clocking Example Input Clock Input Clock Input Clock Input Clock Raw Clock Raw Clock Raw Clock Raw Clock Shift Clock Launch Clock Capture Clock Dead Clock Scan Shift Enable 20ns/50Mhz 5ns Last Shift Launch/Sample Capture/Sample Dead Cycle Example shows Note that SE is not critical with 2-sample

32 On-Chip Chop-Clock Example PLL Input Ref Clock Negedge Clock Dead Clock Counter SClk or TClk Raw PLL Output Launch Clock Counter Dead Clock WF Launch Clock WF Shift Clock Counter Shift/Capt Clock WF Edge Select

33 On-Chip Chop-Clock Example PLL Input Ref Clock Negedge Clock Dead Clock Counter SClk or TClk Raw PLL Output Launch Clock Counter Dead Clock WF Launch Clock WF Shift Clock Counter Shift/Capt Clock WF Edge Select

34 Cross Domain Path Delay Rules FClk SClk SE Slow Shift and At-Speed Capture Slow Speed Logic High Speed Logic Slow Speed FF Slow Speed Logic High Speed Logic High Speed FF Launch On 1 st Sample Capture On 2 nd Sample SClk FClk

35 Cross Domain Path Delay Rules FClk SClk SE Slow-to-Fast Thru Fast Logic Slow Speed Logic High Speed Logic Slow Speed FF Slow Speed Logic High Speed Logic High Speed FF Launch On 1 st Sample Capture On 2 nd Sample SClk FClk

36 Cross Domain Path Delay Rules FClk SClk SE Slow-to-Fast Thru Slow Logic Slow Speed Logic High Speed Logic Slow Speed FF Slow Speed Logic High Speed Logic High Speed FF Launch On 1 st Sample Capture On 2 nd Sample SClk FClk

37 Cross Domain Path Delay Rules FClk SClk SE Fast-to-Slow Thru Fast Logic Slow Speed Logic High Speed Logic Slow Speed FF Slow Speed Logic High Speed Logic High Speed FF Launch On 1 st Sample Capture On 2 nd Sample SClk FClk

38 Cross Domain Path Delay Rules FClk SClk SE Fast-to-Slow Thru Slow Logic Slow Speed Logic High Speed Logic Slow Speed FF Slow Speed Logic High Speed Logic High Speed FF Launch On 1 st Sample Capture On 2 nd Sample SClk FClk

39 Let s Collect Some Information

40 All About Paths Follow the White Rabbit Path Delay testing is all about the Paths you Pick What is a path? What do paths look like? Where do paths come from? How are paths used? What are the best paths to use? How many paths should be used? Are there any wrong paths?

41 What is a Path? Down the Rabbit Hole and into Wonderland Path Definition: a boolean true path for synthesis and ATPG is a real propagation path that begins on a pin or sequential device, passes through gates and nets, and ends on a pin or sequential device.

42 What is a False Path? There are 2 types of False Paths Boolean False Path: a path that is a collection of gates and net connections that cannot be exercised in functional or test mode. Functional False Path: a path that can be exercised and resolved in a test mode, but cannot be exercised in functional mode.

43 What is an Incomplete Path? You Can t Get There From Here The Incomplete Path: a non-contiguous description of gates and nets. Or an inaccurate description of gates and nets. Why? Custom circuit blocks Different netlists for different tools

44 What Does a Path Look Like? Pins or Registers Identified Critical Path from Static Timing A Boolean true path for synthesis Analysis and ATPG is a real propagation path that begins on a U21 pin/sequential device, passes U18 through gates U11 U55and nets, U46 U3 U74 Pin or Register and ends on a pin/sequential device that is called U37 U6 an Endpoint. Endpoint

45 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; Path Name

46 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; Pin or Register

47 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; Gate Input

48 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; Gate Output

49 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; A number of Gates

50 A Path File for ATPG What Does a Path Look Like? Path R2RCoreA001 = Pin Top/CoreA/Mul/AdjReg/q; Pin Top/CoreA/Mul/U37/a; Pin Top/CoreA/Mul/U37/x; Pin Top/CoreA/Mul/U6/a; Pin Top/CoreA/Mul/U6/x; Pin Top/CoreA/Pipe/U11/b; Pin Top/CoreA/Pipe/U11/z; Pin Top/CoreA/Pipe/U18/b; Pin Top/CoreA/Pipe/U18/x; Pin Top/CoreA/Pipe/U55/a; Pin Top/CoreA/Pipe/U55/x; Pin Top/CoreA/ALUReg/d; End R2RCoreA001; Pin or Register

51 What Does a False Path Look Like? A U21 0 U11 U18 B U37 U74 U6 1 U3 0 U7 Static Timing Analysis will ID path A which cannot be true due to mutual exclusive MUX select 1

52 Where Do Paths Come From? It s about the Choices We Make In a Word Static Timing Analysis: the synthesis tool must assess paths to determine if the circuit can make timing. Paths are based on Registers to ease the definition of timing and the work function of the synthesis tool. There may be a finite number of nets and gates in a design, but the combination of nets and gates can add up to trillions of paths. Early design is based on wireload End design on parasitics

53 How are Paths Used? Can I Eat with the Fork in the Road? In a Word Vector Generation: the path represents a collection of transition delay or gate delay faults that are to be assessed simultaneously. They are used as a Path Fault description for ATPG tools. A Vector is created that passes a transition down the complete path within one defined time period usually one clock cycle.

54 A Run File for ATPG Paths and ATPG Set Fault Type Path Set System Mode ATPG Set Abort Limit Set Simulation Mode Combinational Depth -2 Load Paths <filename> Add Faults All Run

55 What are the Best Paths to Use? Don t be Critical until You ve Walked a Mile in Someone Elses Shoes Critical Paths the Only Paths to Use Why Critical paths? How Critical is Critical? Only a few trillion paths? What is Path Fault Coverage?

56 What are the Best Paths to Use? Critical Path Facts: Critical Paths are more sensitive to timing One worst path per endpoint proves frequency Multiple paths per endpoint to identify delay faults Criticality depends on several factors Library Performance Synthesis/Timing target Delay Fault sizing Only a portion of the available paths are needed for complete Path Fault Coverage

57 Black Magic Zone

58 Which Paths to Use? Endpoints Least Slack More Critical Endpoints presented in Static Timing Analysis Order Flip-Flop or PO with least Timing Margin Most Slack Flip-Flop or PO with most Timing Margin

59 Which Paths to Use? Endpoints Least Slack More Critical Most Slack This Box of Dots could represent trillions of paths There are multiple paths behind each endpoint Paths organized by Slack Value More Critical Paths

60 Which Paths to Use? Endpoints Least Slack More Critical Most Slack It s All About Managing the Number of Vectors More Critical Establish a Timing Criteria Examples: 5% of the Cycle <2ns of Slack Size of a Defect Paths

61 Which Paths to Use? Endpoints Least Slack Path Delay More Critical Most Slack More Critical Paths

62 Which Paths to Use? Endpoints Least Slack Path Delay More Critical Transition Delay Most Slack More Critical Paths

63 Which Paths to Use? Endpoints Least Slack More Critical Most Slack Stuck and Transition Faults are finite whole space models and appear as sensitivity ranges in the graph More Critical Path Delay Transition Delay Stuck-At Paths

64 Which Paths to Use? Endpoints Least Slack More Critical Most Slack Path Delay Faults are targeted faults and the limit is set by the user or cost requirements More Critical Path Delay Transition Delay Stuck-At Paths

65 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical Real Frequency Line Transition Delay Most Slack More Critical Stuck-At Paths

66 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical We know this Timing It matches this timing Transition Delay Most Slack More Critical Stuck-At Paths

67 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical Most Slack Anything Matching the TDelay timing can be moved into the TDelay space Transition Delay Stuck-At More Critical Paths

68 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical Also Falls into TD Space Transition Delay Most Slack Stuck-At More Critical Paths

69 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical Most Slack Path Fault Coverage Area Transition Delay Stuck-At More Critical Paths

70 Endpoints Least Slack Which Paths to Use? Mostly False Mostly True Path Delay More Critical Most Slack Coverage is rated in delay not percent Transition Delay Stuck-At More Critical Paths

71 Path Distribution Endpoints Least Slack More Critical A High Performance Chip with Few Gates Between Registers The Path Depth is Minimal Height is Tall Most Slack More Critical Paths

72 Path Distribution Endpoints Least Slack More Critical A Chip with a Deep Combinational Depth Path Depth is Maximal Most Slack More Critical Paths

73 Path Distribution Endpoints Least Slack More Critical Most Slack No Paths are Critical Designing a 30MHz Chip in a 300MHz library Stuck-At More Critical Paths

74 Path Distribution Endpoints Least Slack More Critical All Paths are Critical Transition Delay Designing a 310MHz Chip in a 300MHz library Most Slack More Critical Paths

75 How a Human Does Path-Based ATPG Netlist Library Constraints Setup ATPG Lots of Paths Lots More Paths Vector Status More Vector Status Boy, this is a lot of work!!! Netlist Library Wireload Static Constraints Timing Analysis 4 Vectors Vectors Get Lots of Paths Get 1000s of Paths Paths

76 Endpoints Least Slack Which Paths I Got!! Mostly False Mostly True Path Delay More Critical Transition Delay Most Slack Mostly Stuck-At More Critical Stuck-At Paths

77 Endpoints Least Slack Which Paths I Want!! Mostly False Mostly True Path Delay More Critical Most Slack The Critical Paths Transition Delay Stuck-At More Critical Paths

78 How to Automate Path-Based ATPG Paths ATPG False Path Analysis Vectors Path Fault Vector Status Analyze if ATPG-Untestable Path Math End Point Rules Socket Control Path Translate Analysis Enable Endpoint + Next Path Description Man, I m going to Disney World! Static Timing Analysis Difference is that the process goes after one path at a time with one endpoint at a time and so farms the critical path space

79 Hmm, Now Where Did I Leave Those Defects?

80 The Problem Re-Stated

81 The Net Rating Layout Extraction: What information should be extracted from layout to assist in the vector generation, detection, and diagnostic/debug processes? Bridging Rules Via Rules Fanout and Wire-Length Rating the Net: How can this information be compiled and viewed to provide the most value and assistance?

82 A Bridge Too Far Example Bridging Rules: Assign a value for each rule violation associated with a given net: +1 for each L-Bend +1 for Length > Target +1 for Mutual Capacitance > Target Sum: Store this sum as a variable known as BR.

83 We ll Cross that Via if it Comes to it Example Via Rules: Assign a value for each rule violation associated with a given net. +1 for each Via Crossing +1 for Complex Vias +1 for Metal Sizing Change +1 for each Metal Level Sum: Store this sum as a variable known as VI.

84 When the Shift Hits the Fanout Fanout Rules: Assign a value for each rule violation associated with a given net. +1 for each load +1 for each stem branching Sum: Store this value as a variable known as FA.

85 And the Total is The Math: Add the numbers together with weighting factors to allow tailoring to specific fabs and processes. Sum: = δ 1 BR + δ 2 VI + δ 3 FA Representation as a Quadlet: (BR, VI, FA, Tot)

86 Now What Do I Do With This?

87 Let s Get Physical DSM and nanometer design: GOS, Metal Bridges, Open/Plugged Vias dominate. 60% of the defectivity is in the route and causes delay. Bridges: Leakage, contention, shorts, resistive connections, diodic connections. In-Line Resistances: No leakage, small opens, tunneling, stringers, and bone connections.

88 What s the Rub? Crosstalk: High mutual capacitance. Interference with the boolean propagation value. Power Droop: High toggle or undersized power rails. Loss of state (brownout). Clock Droop: Weak clock tree, power droop. Holdtime violations (data smearing), period expansion.

89 The AC Scan Recipe for Physical Debug STA: Critical (timing sensitive) paths must be identified from Static Timing Analysis. Layout: Potential Bridging and Via fault locations must be extracted from the Layout. ATPG: AC Scan vectors must be generated to target Bridge and Via faults. Failure Mode: The defect manifests itself as an interaction that causes an AC Scan vector to fail timing.

90 Bridging Debug with AC Scan U74 Launch Clock Capture Clock U74 is in identified Critical Path from Static Timing Analysis 20ns/50Mhz 20ns/50Mhz Launch Capture/Sample

91 Bridging Debug with AC Scan 1 0->1 U74 0->1 Launch Clock Capture Clock 10ns PASS Normal Path Delay Test on Node U74 20ns/50Mhz Launch 20ns/50Mhz Capture/Sample

92 Bridging Debug with AC Scan 1 0->1 U74 U18 Let s Add a Suspected Bridging Fault Launch Clock 20ns/50Mhz Capture Clock 20ns/50Mhz Must Identify the Suspect Bridging Node from Layout Launch Capture/Sample

93 Bridging Debug with AC Scan 1 0->1 U74 U18 I Let s Add a Suspected Bridging Fault Launch Clock 20ns/50Mhz Capture Clock 20ns/50Mhz Node Must be Independent and not directly Affect Test Launch Capture/Sample

94 Bridging Debug with AC Scan 1 0->1 U74 U18 Let s Add a Suspected Bridging Fault Launch Clock 20ns/50Mhz Capture Clock 20ns/50Mhz Suspect Node is now called a Constrained Node Launch Capture/Sample

95 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock 20ns PASS Suspect Node Constrained to 1 20ns/50Mhz Launch 20ns/50Mhz Capture/Sample

96 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U18 Capture Clock 15ns 1 0->1 PASS Suspect Node Constrained to 1 20ns/50Mhz Launch 20ns/50Mhz Capture/Sample

97 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U18 Capture Clock 10ns 1 0->1 PASS Suspect Node Constrained to 1 20ns/50Mhz Launch 20ns/50Mhz Capture/Sample

98 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock FAIL Suspect Node Constrained to 1 20ns/50Mhz Launch 5ns F 20ns/50Mhz Capture/Sample

99 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock FAIL Log the Capture Timing 20ns/50Mhz Launch 5ns F 20ns/50Mhz Capture/Sample

100 Bridging Debug with AC Scan U >1 U74 Launch Clock Capture Clock Now Let s Change the Constraint 20ns/50Mhz 20ns/50Mhz Launch Capture/Sample

101 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock 20ns PASS Suspect Node Constrained to 0 20ns/50Mhz 20ns/50Mhz Launch Capture/Sample

102 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U18 Capture Clock 15ns 0 0->1 PASS Suspect Node Constrained to 0 20ns/50Mhz 20ns/50Mhz Launch Capture/Sample

103 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock FAIL Suspect Node Constrained to 0 20ns/50Mhz Launch 10ns F 20ns/50Mhz Capture/Sample

104 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U >1 Capture Clock FAIL Log the Capture Timing 20ns/50Mhz Launch 10ns F 20ns/50Mhz Capture/Sample

105 Bridging Debug with AC Scan 1 0->1 U74 Launch Clock U18 Since the same test failed at 2 20ns/50Mhz different timings with only the constraint being different, then Launch there is an interaction 5ns F Capture Clock 10ns F 1/0 0->1 Potential Bridge or Crosstalk 20ns/50Mhz Capture/Sample FAIL Note the Timing Difference

106 Bridging Explained (1) Critical Paths: the more critical the path, the more sensitive it is to timing find the most critical path that contains the suspected bridge. Independence: the constrain node can have no direct bearing on the outcome of the test in a fault-free circuit it cannot re-converge into the exercise or observe part of the path. It may be necessary to select a less critical path to meet this condition. Murphy: a highly resistive bridge may exist and may not fail the test. Use leakage testing as an additional clue.

107 Bridging Explained (2) More Clues: Repeat the test using the constrained node for the path delay transition and hold the path delay node to the constrain values this works best if the constrained node can also be associated with a critical path. Layout Rules: nets with 90 degree bends, high mutual capacitance, and long routes or high fanout are good candidates.

108 In-Line Resistance Debug with AC Scan Begin with a Route Node in a Critical Path U74 Identify a problem either through Layout Extraction or from a Failed Test that was Traced to the Gate

109 In-Line Resistance Debug with AC Scan Investigate the Suspected Path from STA U18 U74

110 In-Line Resistance Debug with AC Scan Extract the Point-to-Point Net from Layout U74 v i a Identify Structures Such as Vias U18 D Q Note from Path File the Primary Endpoint (Observe Point)

111 In-Line Resistance Debug with AC Scan Extract the Point-to-Point Net from Layout U74 v i a I U18 Assign the Path to be Independent

112 In-Line Resistance Debug with AC Scan U74 v i a Suspect Open Via I U18

113 In-Line Resistance Debug with AC Scan U74 v i a I U18

114 In-Line Resistance Debug with AC Scan Extract Whole Net from Layout U74 v i a I U18

115 In-Line Resistance Debug with AC Scan Forward Fanout Analysis U74 v i a I U18 Other Logic Forward Trace Other Stems through their Logic to their Observe Points Note their Endpoints

116 In-Line Resistance Debug with AC Scan U74 v i a I I D I I D U18 Other Logic and Assign Dependence and Independence Only Independent nets are Valid for Consideration I

117 In-Line Resistance Debug with AC Scan Create Multiple Path Faults for ATPG U74 v i a I I D I U18 Other Logic Each Independent Net becomes a Path Delay Test I D I

118 In-Line Resistance Debug with AC Scan Create Multiple Path Faults for ATPG U74 0->1 v i a I I D I U18 Other Logic Apply Transition I D I

119 In-Line Resistance Debug with AC Scan Create Multiple Path Faults for ATPG U74 0->1 Apply Transition v i a I I D I I D U18 Other Logic PASS FAIL If Some Fail and Some Pass then this Isolates to the Net Stem PASS PASS I PASS

120 In-Line Resistance Explained (1) Critical Paths: the more critical the path, the more sensitive it is to timing find the most critical path that contains the suspected resistance. Independence: the fanout stems must be independent of each other and not re-convergent to themselves. Fanout: this technique only works with nets that have fanout to multiple endpoints. It does not work with single-connection nets, or with nets that have fanout that is all re-convergent.

121 In-Line Resistance Explained (2) More Paths: Some nets feed logic that has fanout further forward in the cone of logic and the net may resolve to multiple endpoints. Create multiple PDelay vectors for those nets. More Clues: in-line resistances do not exhibit leakage current. Layout Rules: nets with multiple via crossings, complex vias, long routes and high fanout are good candidates.

122 Putting it all Together Generating More Comprehensive Vectors: Couple Layout Extraction, Static Timing Analysis, and AC Scan to produce vectors that have a higher probability of detecting Bridges, Opens, and other delay causing defects. Conducting Debug: Coupling Layout Extraction and Static Timing Analysis to help steer AC Scan vector generation for isolation (location) or resolution (type of defect).

123 Putting It All Together Endpoints Least Slack Path Delay More Critical Most Slack Match nodes and nets to more critical paths in target box More Critical Transition Delay Stuck-At More Critical Paths

124 Putting it All Together Paths ATPG False Path Analysis Vectors Path Fault Vector Status Analyze if ATPG-Untestable Physical Path Math End Point Rules Socket Control Path Translate Analysis Enable Path Filter Endpoint + Next Path Description Nets and Gates Path Description Static Timing Analysis Net/Gate Rating Layout Extraction

125 Any Questions

126 Summary & Conclusions AC Scan is a Structural Test Technique: it is proven, automated, more economical, and can be used to debug and diagnose nanometer designs. AC Scan is almost identical to DC Scan: in implementation the only difference is the clocking. The AC space can be fully covered: by transition delay and path delay vectors.

127 Summary & Conclusions AC Scan is fully supported: by all major ATPG tools available today. AC Scan can be used to target DSM and nm design effects: with additional information from static timing analysis and the layout. Desktop Structural Testers with AC Scan support: can reduce cost in time, effort, and $$ Gratuitous Plug

128 How Do You Look for Yield?

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Design Visibility Enhancement for Failure Analysis

Design Visibility Enhancement for Failure Analysis Design Visibility Enhancement for Failure Analysis Etienne Auvray ST Microelectronics etienne.auvray@st.com ST: Typical FA Process Flow Three steps for fault isolation: Test-based Fault Isolation Global

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao

Net Diagnosis Using Stuck-at and Transition Fault Models. Lixing Zhao Net Diagnosis Using Stuck-at and Transition Fault Models by Lixing Zhao A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Constraint Verification

Constraint Verification Constraint Verification Constraint verification refers to the verification of the contents of an SDC file to flag situations where the specified constraints are either incorrect, or incomplete, both of

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Impact of DFT Techniques on Wafer Probe

Impact of DFT Techniques on Wafer Probe Impact of DFT Techniques on Wafer Probe Ron Leckie, CEO, INFRASTRUCTURE ron@infras.com Co-author: Charlie McDonald, LogicVision charlie@lvision.com The Embedded Test Company TM Agenda INFRASTRUCTURE Introduction

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Project Timing Analysis

Project Timing Analysis Project Timing Analysis Jacob Schneider, Intel Corp Sanjeev Gokhale, Intel Corp Mark McDermott EE 382M Class Notes Overview Brief overview of global timing Example of extracting AT, RAT, and PASSTHROUGHs

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

A Built-in Self-Test for System-on-Chip

A Built-in Self-Test for System-on-Chip A Built-in Self-Test for System-on-Chip Rashid Rashidzadeh University of Windsor Digital 1 Objective : Design an intellectual property (IP) core which enables low speed Automatic Test Equipment (ATE) to

More information

(Refer Slide Time: 00:01:53)

(Refer Slide Time: 00:01:53) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Lecture - 36 Design of Circuits using MSI Sequential Blocks (Refer Slide Time:

More information

Testing Principle Verification Testing

Testing Principle Verification Testing ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Test Process and Test Equipment Overview Objective Types of testing Verification testing Characterization testing Manufacturing testing Acceptance

More information

TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES. A Thesis SHAISHAV PARIKH

TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES. A Thesis SHAISHAV PARIKH TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES A Thesis by SHAISHAV PARIKH Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Lecture 2 VLSI Testing Process and Equipment

Lecture 2 VLSI Testing Process and Equipment Lecture 2 VLSI Testing Process and Equipment Motivation Types of Testing Test Specifications and Plan Test Programming Test Data Analysis Automatic Test Equipment Parametric Testing Summary VLSI Test:

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest Mentor Graphics Tools for DFT DFTAdvisor, FastScan and FlexTest 1 DFT Advisor Synthesis tool capable of doing DRC, Scan Insertion and Test point Synthesis Creates a do file and a test procedure file after

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures

Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Deterministic Test for the Reproduction and Detection of Board-Level Functional Failures Hongxia Fang 1, Zhiyuan Wang 2, Xinli Gu 2 and Krishnendu Chakrabarty 1 1 ECE Dept., Duke University, Durham, NC,

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

Semiconductor IC Test and Design-for-Test Fundamentals

Semiconductor IC Test and Design-for-Test Fundamentals Semiconductor IC Test and Design-for-Test Fundamentals By Al Crouch, Chief Scientist, Inovys Corporation The Semiconductor Industry seeks to reduce the cost of manufacturing its product by continuously

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Lecture 40 VLSI Design Verification: An Introduction Hello. Welcome to the advance

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign I hope you have completed Part 1 of the Experiment. This lecture leads you to Part 2 of the experiment and hopefully helps you with your progress to Part 2. It covers a number of topics: 1. How do we specify

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas

Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas Technology Dependent Logic Optimization Prof. Kurt Keutzer EECS University of California Berkeley, CA Thanks to S. Devadas 1 RTL Design Flow HDL RTL Synthesis Manual Design Module Generators Library netlist

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece. ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

BIST for Deep Submicron ASIC Memories with High Performance Application

BIST for Deep Submicron ASIC Memories with High Performance Application BIST for Deep Submicron ASIC Memories with High Performance Application Theo J. Powell, Wu-Tung Cheng *, Joseph Rayhawk *, Omer Samman *, Paul Policke, Sherry Lai Texas Instruments Inc. PO Box 660199,

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web For XILINX WebPack Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11 Ver. 1.0web 1 Table of Contents 1.0 INTRODUCTION...3 2.0 GENERAL DESCRIPTION...5 3.0 BRIEF DESCRIPTION Of PLDT-3 BOARD...6

More information

Timing and Verification

Timing and Verification Timing and Verification Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Al Crouch ASSET InterTech InterTech.com

Al Crouch ASSET InterTech InterTech.com IJTAG Test Strategy for 3D IC Integration Al Crouch ASSET InterTech acrouch@asset InterTech.com Silicon Valley Test Conference 2011 1 Why 3D? So, who suffers? Fab Tool Providers they only have 5 customers

More information

Scan Chain Operation for Stuck at Test

Scan Chain Operation for Stuck at Test Scan Chain Operation for Stuck at Test Scan Enable Here is an example design under test (DUT). I have shown a single scan chain (in red color) in the circuit, with and ports. Assume that all scan flip

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4 Sequential Logic Digital state: the D-Register Timing constraints for D-Registers Specifying registers in Verilog Blocking and nonblocking assignments Examples Reminder: Lab #2 due Thursday 1 Use Explicit

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Expanding IEEE Std 1149.1 Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly Jun Balangue Keysight Technologies Singapore Jun_balangue@keysight.com Abstract This paper

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG HIGH QUALITY COMPACT DELAY TEST GENERATION A Dissertation by ZHENG WANG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of

More information

BA-BIST: Board Test from Inside the IC Out

BA-BIST: Board Test from Inside the IC Out BA-BIST: Board Test from Inside the IC Out Zoë Conroy, Cisco Al Crouch, Asset InterTech inemi BIST Project 1 05/18/2013 About this Presentation Board-Assist (BA-BIST) is enhanced IC BIST functionality

More information

On-Chip Variation (OCV) Kunal Ghosh

On-Chip Variation (OCV) Kunal Ghosh On-Chip Variation (OCV) Kunal Ghosh Ever thought what s an interviewer s favorite questions to rip you off all my previous ebooks. And On-Chip Variation (OCV) is one of them, specifically for Static Timing

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Martin Foltin mxf@fc.hp.com Brian Foutz* Sean Tyler sct@fc.hp.com (970) 898 3755 (970) 898 7286

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

Strategy to Achieve High Test Coverage for SOC

Strategy to Achieve High Test Coverage for SOC Nor Azura Zakaria MIMOS BERHAD, Malaysia norazura@mimos.my ABSTRACT Yield issues are very important and costly in semiconductor manufacturing process as it depends on the maturity of the process technology

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Memories today Fault Model MARCH algorithms Memory is the most dense physical structure - Embedded memories begin to dominate physical die area vs. logic - Memory arrays can be doubly embedded (ex: microprocessor

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities Source: www.datasciencecentral.com/profiles/blogs/artificial-intelligence-vs-machine-learning-vs-deep-learning

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information